当前位置:文档之家› 《数字电路》总复习题

《数字电路》总复习题

《数字电路》总复习题
《数字电路》总复习题

09级4班《数字电路》总复习题(没有DAC、ADC部分!!)

一、填空题

1.在逻辑电路中,任意时刻的输出状态仅取决于该时刻输入信号的状态,而与信号作用前电路的状态无关,这种电路称为。因此在电路结构上,一般由组合而成。

2.(35)10=()2,(10101)2=()10

3.电路能产生周期的脉冲波形;电路可将正弦波变成矩形波。

4.三态门具有、、三种状态,因此常用于结构中。

5.右图所示的波形是一个进制(加、减)法计数器的波形。若由触发器组成该计数器,触发器的个数应为,它有个无效状态,分别为和。

6.组合逻辑电路的设计步骤为:

①;

②;

③简化和变换逻辑表达式,从而画出逻辑图。

7.欲将一个频率为10kH Z的矩形波变换成频率为1kH Z的矩形波,应选用电路。

8.逻辑表达式C

AB

Y +A CD+A BD的最小项之和的形式是:

9.分析组合逻辑电路的步骤为:

①;

②;

③;

④根据真值表和逻辑表达对逻辑电路进行分析,最后确定其功能。

10.为实现图逻辑表达式的功能,请将多余输入端C进行处理(只需一种处理方法)

其中图Y1、Y2为TTL 电路,图Y3、Y4为CMOS电路。

Y1的C端应,

Y2的C端应,

Y3的C端应,

Y4的C端应。

11.在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而与先前状态无关的逻辑电路称为,而若逻辑电路的输出状态不仅与输出变量的状态有关,而且还与系统原先的状态有关,则称其为。

12.双极性三极管饱和工作状态的条件是。

13.正与门与门等效。

CP

Q

1

Q

2

Q

14.“逻辑相邻”是指两个最小项________因子不同,而其余因子________。

15.数字比较器是用于对两数 ,以判断其 的逻辑电路。

16.数(11011011)2转化为八进制数是 ,十六进制数是 。

17.在同步计数器中,各触发器的CP 输入端应接 时钟脉冲。

18.有一两端输入的TTL 与非门带同类负载门的个数为N ,已知门电路的

|I IS |=1.5mA ,|I IH |=10μA ,|I OL |=15mA |I OH |=400μA 试问电路带负载门个

数N= 。

19.四位双向移位寄存器T4194的功能表如表所示。由功能表可知,要实现

保持功能,应使 ,当1 D R ,S 1=1,S 0=0

时,电路实现 功能。

20.图中所示电路中,当电路其他参数不变:仅R b 减小时,三极管的饱和程度 ;仅R C 减小时,三

极管的饱和程度 ,它的饱和压降U CES 。

21.TTL 反相器电气特性如图所示,该门电路输入短路电流I IS =( ),高电平输入

电流I IH =( )若带同类门,其带负载能力N ≤( )。

22.由555定时器构成的施密特触发器,已知电源电压U CC =9V 其正向阈值电压U+= ;负向阈值

电压U-= ,回差电压△U T = 。

23.某计数器的状态转换图如图所示,试问该计数器是一个 进制

法计数器,它有 个有效状态, 个无效状态,该电路 自启动。

若用JK 触发器组成,至少要 个。

24.单稳态触发器的特点是电路有一个 和一个 。

25.TTL 或非门多余输入端的处理是 。

7.电路中的二极管均为理想二极管,判断各二极管的状态和输出电压V o

的大小。

D 1 ; D 2 ;D 3 ; V O 。

26.555定时器构成的单稳态触发器,该电路是触发脉冲的 触发,有两种状态: 和 ;电路要求输入信号负脉冲的宽度必须 输出脉冲宽度。

27.三个JK 触发器组成的计数器,最多有效状态是 个,它是 进制计数器;若要构

成五进制计数器,最少需 个触发器,它的无效状态有 个。

28.在下图所示的组合电路框图中 ,若A 1 , A 2 …A m 为输入逻辑变量 ,Y 1 ,Y 2…Yn 为输出逻辑

函数,其输入和输出间的函数关系可表示为Y 1 = f 1 由此可见,组合电路的输出

只决定于 而与 无关。

29.十六进制数(64)H转换为十进制数则为。

30.将二进制数(1101010)2转换成十进制数是,八进制数是,十六进制数是。31.右图为555定时器构成的输入与输出的波形,该电路t w≈电路正常工作时,要求T W t w。

32.已知如图(a)所示各电路输出电压波形如图(b)所示,填写电路名

称。电路1为,电路2为,电路 3 。

33.施密特触发器和单稳态触发器是一种脉冲电路,多谐振荡器是一种脉冲电路。

34.若在时钟脉冲高电平期间RS端信号不发生变化,则同步RS触发器的状态变化是在时钟脉冲发生的,主从RS触发器的状态转变是在时钟脉冲发生的。

35.TTL与非门电路中,为了提高工作速度采到了以下措施:

(1),(2),(3)。

二、选择题

1.用555定时器组成的三种应用电路如下图所示,其中图(a)对应电路名称是,图(b)对应电路名称是,图(c)对应电路名称是。

⑴施密特触发器;⑵单稳态触发器;⑶多谐振荡器。

2.以下单元电路中,具有“记忆”功能的单元电路是:()

A、运算放大器;

B、触发器;

C、TTL门电路;

D、译码器;

3.以下各电路中属于组合逻辑电路有。

A、编码器

B、译码器

C、寄存器

D、计数器

4.在数字电路中,晶体管的工作状态为:()

A、饱和;

B、放大;

C、饱和或放大;

D、饱和或截止;

5.图(a)由555定时器组成的何种电路 ,已知图(a)输入,输出脉冲波形如图(b )所示,

则输出脉冲的宽度Tw=

(A) 单稳态触发器

(B) 施密特触发器

(C) 多谐振荡器

⑴T W =2.2RC

⑵T W =1.1RC

⑶T W =2RC

6.电路如图所示,指出能实现1n n Q AQ +=的电路是 ,实现1n n Q A Q +=的电路是 ,实现

1n n Q A Q +=+的电路是 。

7.下图所示波形是一个 进制加法计数器的波形图。试问它有 个无效状态。

A 二;

B 四 ;

C 六;

D 八

8.半加器的逻辑关系是 ( )

A 、与非

B 、或非

C 、 与或非

D 、异或

9.有四个触发器的二进制计数器,它们有 种计数状态。

A 、8

B 、16;

C 、 256

D 、64。

10.下列函数中等于A 的是 。

A 、A+1

B 、A+A D 、A (A+B )

11.图中所示电路中图 的逻辑表达式AB F =

12.摩根定律(反演律)的正确表达式是:( )

A 、;

B A B A ?=+ B 、;B A B A +=+

C 、;B A B A +=+

D 、;B A B A ?=+

13.在555定时器组成的三种电路中,能自动产生周期为T=0.69(R 1+2R 2)C 的脉冲信号的电路是 。

⑴施密特触发器 ;⑵单稳态触发器 ;⑶多谐振荡器。

14.指出四变量A 、B 、C 、D 的最小项应为( )

A 、)(D C A

B + B 、D

C B A +++

C 、

D C B A +++ D 、CD B A

15.右图CT54H 系列的TTL 门电路的输出状态( )

A 、高电平

B 、低电平

C 、高阻态

D 、无法确定;

16.指出下列各种类型的触发器中能组成移位寄存器的应该是( )

A 、基本RS 触发器

B 、同步RS 触发器

C 、主从结构触发器

D 、维持阻塞触发器;

17.下列说确的是:( )

A 、单稳态触发器是振荡器的一种

B 、单稳态触发器有两个稳定状态

C 、JK 触发器是双稳态触发器

D 、振荡器有两个稳定状态

18.图示为一简单的编码器,其中E 、F 、G 是一般信号,A 、B 是输出

二位二进制代码变量,今令 AB = 10 ,则输入的信号是 ( )。

A 、E

B 、F

C 、G

19.设所有触发器的初始状态皆为0,找出下图各触发器在时钟信号作用下输出电压波形不为0的是: 图 。

20.组合逻辑电路任何时刻的输出信号与该时刻的输入信号( ),与电路原来所处的状态( )

A 、关,无关

B 、无关,有关

C 、有关,无关

D 、有关,有关

21.在函数K=AB+CD 的真值表中,F=1的状态有多少个?( )

A 、2

B 、4

C 、6

D 、7;

E 、16

22.电路如图所示,这是由定时器构成的:( )

A 多谐振荡器

B 单稳态触发器

C 施密特触发器

D 双稳态触发器

23.如图所示TTL 电路中逻辑表达式为Y=A+B 的是 。

24.欲将一正弦波信号转换为与之频率相同的矩形脉冲信号,应采用:( )

A 、单稳态触发器

B 、施密特触发器

C 、 A/

D 转换器 D 、移位寄存器

25. 74LS138是3线—8线译码器,译码为输入低电平有效,若输入为A 2A 1A 0=100时,输出 01234567Y Y Y Y Y Y Y Y 为

A 、00010000

B 、11101111

C 、11110111

D 、00000100

26.下列数中最小数是 。

A 、 (26)10

B 、(1000)8421BCD

C 、(10010)2

D 、(37)8

27.下列触发器中只有计数功能的是 。

A 、RS 触发器

B 、JK 触发器

C 、

D 触发器 D 、T 触发器

28.逻辑电路如图所示,其逻辑函数式为:( )

A 、;

B A B A + B 、;AB B A +

C 、;B A B A +

D 、;A AB +

29.在图中,选择能实现给定逻辑功能A Y =的电

路 。

30.TTL 与非门中多余的输入端应接电平是:( )

A 、低

B 、高

C 、地

D 、悬空

31.特性征方程中含有约束条件的触发器是:( )

A 、主从RS 触发器

B 、主从JK 触发器

C 、JK 边沿触发器

D 、D 边沿触发器

32.CMOS 传输门相当于一个:( )

A 、与门

B 、非门

C 、或门

D 、开关

33.不能用来描述组合逻辑电路的是:( )

A 、真值表

B 、卡诺图

C 、逻辑图

D 、驱动方程

34.下列电路中,不属于组合电路的是:( )

A 、数字比较器

B 、寄存器

C 、译码器

D 、全加器

35.下列逻辑代数运算错误的是:( )

A 、A+A=A

B 、A 0=?A

C 、A ·A = 1

D 、A+1=A

36.NMOS 管的开启电压U GS(th)=2V 外加漏源电压U DD =10V ,为使管子截止,则要求U GS(th)

(1) >2V (2)=2V (3)<2V

37.二进制数(1011.11)B 转换为十进制数则为:

A 、11.55

B 、11.75

C 、11.99

D 、11.30

38.JK 触发器的特征方程为:( )

A 、1n n n J K Q Q Q +=+

B 、 Q Q n n K J +=+1

C 、 Q Q n n K J +=+1

D 、 Q Q n

n K J +=+1

39.二进制加法计数器从0 计到十进制数12时,需要个 触发器构成,它有 个无效状态。

A 、4

B 、3

C 、8

D 、16

40.下列逻辑代数运算错误的是:( )

A 、A 00=?

B 、A+1=A

C 、A A =?1

D 、A+0=A

41.如图所示CMOS 电路中逻辑表达式Y=A 的是 。

42.逻辑函数L (A 、B 、C 、D )=()()15.14.13.12.11.109,6,5,2,1d m +∑ 化简结果为:

( ) A 、D C A D C B D C A ++ B 、D C A D C B CD A ++

C 、

D C D C + D 、CD D C +

43.当Cr=0时,移位寄存器处于状态:

A 、保持

B 、左移

C 、右移

D 、清除

三、判断题

1.编码器,译码器,数据选择器都属于组合逻辑电路。( )

2.请将下列触发器的特性方程与其对应触发器用线连接起来(特性方程中,触发器的输入端用字符A 、B …….表示)。

(1) T 触发器 (a)1n n n Q AQ BQ +=+

(2) RS 触发器 (b) 1n Q A +=

(3) JK 触发器 (c) 1 0n n Q A BQ AB +=+=

(4) D 触发器 (d) 1n n n Q AQ A Q +=+

3.化简逻辑函数,就是把逻辑代数式写成最小项和的形式。( )

4.对于TTL 数字集成电路来说,在使用中应注意:电源电压极性不得接反,其额定值为5V 。( )

5.主从RS 触发器能够克服空翻,但不能消除不定态。( )

6.二进制加法计数器从0计数到十进制24时,需要5个触发器构成,有7个无效状态。( )

7.在所示的反向器电路中,为了加深三极管的饱和深度,可

以采用下列方法中的哪一种?在可以采用的方法后面画√,

在不可以采用的方法后面画×。

(1)三极管的β( ) (2)减小R C ( )

(3)减小R 2( ) (4)减小E Q ( )

(5) 加大R 3( )

8.“同或”逻辑关系是,输入变量到值相同输出为1;取值不

同,输出为零。( )

9.有8个触发器数目的二进制计数器,它具有256个计数状态。( )

10.单稳态触发器中,欲加大输出脉冲宽度,可增加输入脉冲宽度。( )

11.某一时刻编码器只能对一个输入信号进行编码。( )

12.要实现图中各TTL 门电路输出端所示的逻辑关系,各电路的解法是否正确?

(a)( ) (b)( ) (c) ( ) (d )( )

13.常用逻辑门电路的真值表如下表所示,试判断它们分别属于哪种类型门电路.即F 1、F 2、F 3、F 4和F 5分别属于何种常用逻辑门。

F 1 ;F 2 ;F 3 ; F 4 ;F 5 。 14.用二进制代码表示某一信息称为编码。反之把二进制代

码所表示的信息翻译出来称为译码。( )

15.数字钟计时是否准确主要取决于计数器的精度。( )

16.N 进制计数器可以实现N 分频;( )

17.利用卡诺图化简逻辑表达式时,只要是相邻项即可画在包围圈中。( )

18.下图是用D 触发器组成的寄存器电路。当在u i 端随CP 脉冲依次输入1011时,经过四个CP 脉冲后,串行输出端的状态是1011。Q 1Q 2Q 3Q 4的初始状态是0000。( )

19.TTL 与非门输出端不能并联使用;( )

20.为了确保逻辑输出的确定性,JK 触发器的J 和K 输入端不能同时为逻辑高电平1( )。

21.译码器、计数器、全加器、寄存器都是组合逻辑电路。( )

22.判断图中所示各CMOS 电路的逻辑表达式是否正确。对者√ 错的打×。

23.C B A Y ?=的对偶式是C B A Y ++='( )

24.连续异或85个“1”的结果是0 。( )

25.全加器的输出不仅取决于输入,同时还取决于相邻低位的进位,因此说全加器属于时序逻辑电路。( )

26.当TTL 门电路的输入端接地时,才称之为该输入端所接为逻辑低电平。

27.四位移位寄存器经过4个CP 脉冲后,四位数码恰好全部移入寄存器,因此可以得到四位串行输出。( )

28.对于TTL 数字集成电路来说,在使用中应注意:不使用的输入端接1。( )

A B F 1 F 2 F 3 F 4 F 5 0 0 0 1 0 0 1 0 1 1 1 0 1 0 1 0 1 1 0 1 0 1 1 0 0 1 1 0

29.数据选择器能从多个输入信号中选择2个信号送到输出器。( )

30.两个不同最小项乘积恒为零。( )

31.如果在时钟脉冲CP=1的期间,由于干扰的原因使触发器的数据输入信号经常有变化,此时不能选用TTL 主从型结构的触发器,而应选用边沿型和维持阻塞型的触发器。( )

32.对于低电平输入有效的基本RS 触发器,其RS 端的输入信号不得同时为低电平。( )

33.对于高电平输入有效的基本RS 触发器,其RS 端的输入信号不得同时为高电平。( )

34.判断下图所示各触发器中哪些触发器的状态1n n Q Q += 。

35.要实现图中各TTL 电路输出端所示的逻辑关系,各电路的接法是否正确。

36.图中均为TTL 电路,试问哪些电路能实现CD AB +的逻辑关系?

37.C B A Y ?=的对偶式是C B A Y ++=' 。( )

38.TTL 与非门输入端可以接意值电阻。( )

39.对于TTL 数字集成电路来说,在使用中应注意。输入端可以串有电阻器,但其数值不应大于关门电阻。( )

四、计算题(本题分,共题)

1.利用卡诺图化简:

ABC

Y+

A

+

+

=

+

C

+

ABD

A

D

D

C

C

C

B

D

A

2.试分析下图时序电路的逻辑功能,写出驱动方程状态方程和输出方程。

3.分别写出如图所示的各触发器次态的逻辑函数表达式。

4.写出如图所示电路逻辑表达式,并将其化简后用最简单的组合电路实现此组合电路。

5.如图(a)所示逻辑电路,已知CP为连续脉冲,如图(b)所示,试画出Q1,Q2的波形。

6.用5G555设计一个多谐振荡器,要求输出脉冲的振荡频率为f 0 = 20KHz 占空比D = 25% 。

7.图(a )是555定时器构成的单稳态电路,已知u i 和u c 的波形见图(b )。

(1)对应画出u o 的波形。

(2)估算脉宽t w 的数值。

8.十进制计数器T4160构成的计数器电路如图所示,试分析该电路是几进制计数器,画出状态转换图。T4160的功能表见下表。

9.用代数法将下列函数化简为最简与或表达式。

(1);)(AC BC AB C B A ABC Y ++?+++= (2)G BC E C B D C B C D AC B A Y +++++=

10.在图中所示的时序电路中,X 为控制信号,Q 1、Q 2为输出信号,CP 为一连续脉冲。

(1)画出其状态转换图。

(2)说明电路的功能。

11.设计一多数表决电路。要求A 、B 、C 三人中只要有半数以上同意,则决议就能通过。但A 还具有否决权,即只要A 不同意,即使多数人意见也不能通过(要求用最少的与非门实现)。

。计算12.用555定时器组成的多谐振荡器电路如下图所示,已知:V cc=15V,R1=R2=5K,C=0.01F

振荡器振荡周期T。

13.设计一个组合电路,其输入是十进制数的8421编码,输出为3循环码,见真值表。

14.某产品有A、B、C、D四项质量指标。规定:A必须满足要求,其它三项中只要有任意两项满足要求,产品算合格,试列出真值表,通过卡诺图求出产品合格的最简与或表达式,并画出用与非门实现的逻辑图。

15.电路如图所示,已知:CMOS与非门U OL=0V、U OH=5V、

I OH=0.51mA、三极管β=40、U BE=0.7V、U CES=0.2V。为

实现F=AB的逻辑功能,求R b的取值围。

16.如图所示电路图中,试问在哪些输入情况输出Z=1

17.已知OC 门I OL ≤25mA 、I OH ≤100,用OC 门驱动三极管电路。已知三极管β=20、U BES =0.7V 、U CES =0.3V 。求电路中R b 的到值。

18.(1)证明等式:C AB C B C A AB +=++

(2)化简函数:Y 1=∑mn (0,1,3,5,8,9)+∑d (10,11,12,13,14,15)

19.试分析如图所示电路,写出驱动方程,状态方程,画出状态图,说明计数器类型。

20.触发器电路如图所示,试根据CP ,A ,B 的波形,对应画出输出端Q 的波形,设触发器的初试状态为0。

21.下图是555定时器构成的施密特触发器,已知电源电压V CC =12V ,求:

(1)电路的U T+,U T-和△U T 各为多少?

(2)如果输入电压波形如图,试画出输出u O 的波形。

(3)若控制端接至+6V ,则电路的U T+,U T-和△U T 各为多少?

22.将下图所示电路化简成最简与或表达式。

23.试判断如图所示电路中硅三极管工作在什么状态?并求集电极电位。

五、综合题

1.分析下图时序电路的逻辑功能,写出电路驱动方程状态方程,画出状态转换图。

2.分析图中所示的时序电路。写出电路的驱动方程和状态方程;画出完整的状态转换图,画出时序图(至少有六个CP )。假设触发器的初态均为0。

3.由理想二极管组成的幅度选择电路如图所示,试求电路的输出电压U O 值,电流I 值。

4.试用图中所示的或非门实现下列函数:

(1);1A F = (2);2AB F = (3);3B A F += (4);4B A F ⊕=

5.在各种功能的触发器中,若输入端用A ,B (单端输入用A ,双端输入

A ,

B )表示,请根据表对应写出JK 触发器,D 触发器和T 触发器的现状。

6.写出图中所示电路的最简与或表达式。

7.下图所示是一什么电路,其特点是什么?

8.化简图所示的电路,要求化简后的电路逻辑功能不变。

9.用卡诺图化简下列函数,并用与非门画出逻辑电路图。

F (A 、B 、C 、D )=Σ(0、2、6、7、8、9、10、13、14、15)

10.画出如图所示各触发器在时钟脉冲作用下输出端的电压波形。设所有触发器的初始状态皆为Q = 0。

11.(1)或非门能否作反相器使用?若可以其输入端如何连接?

(2)写出下图CMOS 门电路输出函数式:

12.画出如图时序电路的状态转换图和时序图。

13.已知逻辑函数A C C B B A F ++=,试用真值表,卡诺图及逻辑图表示。

14.有一个“与非”门组成的基本S-R 锁存器

(1)S R 两端输入脉冲如图(a)所示波形时,画出Q Q 端输出波形;

(2)当输入脉冲如图(b)波形时重复上述练习。

15.根据要求完成下列各题。利用二输入端与非门组成非门、与门、或门、或非门和异或门,要求列出表达式并画出最简逻辑图。

16.分析如图所示逻辑电路的逻辑功能,设各触发器的初始状态均为“0”,试列出它的表达式,画出Q0、Q1、Q2的工作波形图。

17.电路如图所示,写出状态方程,列状态转换表,画出状态转换图,并说明该电路的逻辑功能。(1)写出电路的驱动方程和状态方程;

(2)画出状态转换图,判断能否启动;

(3)说明该电路的逻辑功能。

数字电路设计试题湖南大学版

10、时序电路按(输出与现态和输入的关系)可分为:Mealy型和Moore型 二、判断题(下列各题,你认为正确的,请在题末的括号内打“√”,错的打“×”,并更正。每小题2分,共10分) 1、如果逻辑函数表达式在一定条件下可变成X+X‘或者X X’的形式,则该函数表达式可能产生冒险。(√) 2、本质冒险产生的原因:经过激励逻辑和反馈通路的传播延迟的最小值大于通过“输入逻辑”的最大定时偏移。(√) 3、CMOS反向门比非反向门所用的晶体管要少。(√)

4、如果竞争的结果导致电路最终进入同一稳定总态,则称为临界竞争。(×) 5、门电路的扇出是表示输出电压与输入电压之间的关系。(×) 门电路所具有的输入端的数目称为扇入。 扇出是指该门电路在不超过其最坏情况负载规格下能驱动的输出端个数。 三、简答题(每题5分,共10分) 1、请列出3种“曾经是模拟的”现在却“已经成为数字的”系统,并简述为什么会有这种转变。 2、采用CMOS晶体管实现的“与非门”和“或非门”,哪个速度快?为什么? 四、应用题(共70分) 1、已知接收端收到的汉明码码字a7a6a5a4a3a2a1=1100010,问在最多一位错的情况下发送端发送的码字是什么?(5分) 答:第三位出错,应该是1100110 2、用卡诺图化简下列函数:(5分) 3、旅客列车分为特快A,直快B和慢车C,它们的优先顺序为:特快、直快、慢车。同一时间内,只能有一趟列车从车站开出,即只能给出一个开车信号,试设计满足上述要求的开车信号控制电路。(10分) (1)列出真值表(5分) (2)写出最简的输出逻辑表达式(5分) 4、运用一个MSI器件实现余3码向8421BCD码的转换。(10分) 5、运用“圈到圈”逻辑设计思想,采用74X138译码器和适当的逻辑门设计一个1位十进制数2421码的奇偶位产生电路(假定采用奇检验)。(10分) 注:此题中用的不熟74138。我们在做时要用两个74138级联。详见教材P274 6、分析下图所示的时钟同步状态机(状态Q1Q2=00 ~ 11使用状态名A ~ D)。(10分) 1)作出状态/输出表(5分)。 2)说明它是Mealy机还是Moore机(2分) 3)说明这个电路能对何种输入序列进行检测。(3分) 答案没有找到。同类型题7.12 7、作“0101”序列检测器的Mealy型状态表和Moore型状态表。凡收到输入序列为“0101”时,输出为1;并规定检测的“0101”序列不重叠。典型输入输出序列如下:(10分)

《数字电路与系统》期末试卷(A卷)

北京理工大学珠海学院 2008 ~ 2009学年第二学期《数字电路与系统》期末试卷(A ) 一、填空题(每空1分,共20分)【得分: 】 1.数制转换:(3EC)H =( )D =( )O 。 2.逻辑代数的基本运算有 、 、 三种。 3.数字电路按照是否有记忆功能通常可分为 、 两类。 4.能够实现“线与”的TTL 门电路叫 。 5.JK 触发器当时钟到来时,如果J=0,K=0,触发器的状态将 ; 如果J=1,K=1,触发器的状态将 。 6.时序逻辑电路按照其触发器是否有统一的时钟控制分为 时序电路和 时序电路。 7.TTL 与非门中,关门电平VOFF 的定义是 。 开门电平VON 的定义是 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A2A1A0=110时,输出01234567Y Y Y Y Y Y Y Y 应为 。 9.一个10位地址码、8位输出的ROM ,其存储容量为 。 10.数字系统的设计方法有 和 两种。 11.用VHDL 语言描述电路时,基本结构由 和 两部分组成。 二、选择题(每小题2分,共10分)【得分: 】 1.将十进制数13.25转换成二进制数为( )。 A .1011.01 B.1011.1 C.1101.1 D.1101.01 2.用8421BCD 码表示两位十进制数,则至少需要( )0、1码。 A. 5位 B.7位 C.8位 D.6位 3.n 个变量的最小项是( )。 A.n 个变量的积项,它包含全部n 个变量,每个变量可用原变量或非变量。

第2页共6页 B.n 个变量的和项,它包含全部n 个变量,每个变量可用原变量或非变量。 C.n 个变量的积项,它包含全部n 个变量,每个变量仅为原变量。 D.n 个变量的和项,它包含全部n 个变量,每个变量仅为非变量。 4.用JK 触发器设计12进制同步计数器,至少需要( )触发器。 A.2个 B.3个 C.4个 D.5个 5.一个4位移位寄存器可以构成最长计数器的长度是( )。 A.8 B.12 C.15 D.16 三、逻辑函数化简(每小题5分,共10分)【得分: 】 1.用代数化简法化简函数EF B EF B A BD C A AB D A AD L ++++++= 2.将下列逻辑函数用卡诺图法化简为最简与或表达式。 F(A,B,C,D)=Σm(0,1,5,7,8,11,14)+Σd(3,9,15) 四、分析与设计题(共20分) 【得分: 】

中南大学数字电子技术基础期末考试试卷(四套附答案)

中南大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1. 逻辑函数Y = AB-^C的两种标准形式分别为 ()、()。 2. 将2004个“1 ”异或起来得到的结果是()。 3. 半导体存储器的结构主要包含三个部分,分别是()、()、()。 4. 8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则 输出电压为()v;当输入为10001000,则输出电压为()V。 5. 就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰 能力强,()的转换速度快。 6. 由555定时器构成的三种电路中,()和()是脉冲 的整形电路。 7. 与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用 了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方 便灵活。 二、根据要求作题:(共15分) 1. 将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门” 来实现。 2. 图1、2中电路均由CMOS门电路构成,写出P、Q的表达式,并画出对应A、B、C的 P、Q波形。

A B C p 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000-111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421 BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图o (15分) 五、已知电路及CP. A的波形如图4(a)(b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分)

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数C B C A C B A Y ++??=1(5分) 答案:C B A B A C C B C A C B A Y +)+?(=++??=1(1分)=C B A B C +)+((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知7400为四个2输入与非门,其20=OL I ,1=OH I ,2=IL I ,μA 50=IH I ,计算7400最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,(2分);IH H OH 2≥I N I ,(2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应、、D 的Q 端波形。(4分) 答案: 5. 8位数模转换器0832构成的电路如图1.2所示。(1)写出输出电压O v 的计算公式;(2)若输入数 AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图Q PR PR (a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -(3分) (2)=6.3-78 REF 2×2 V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2.73578 (2分) 二(10分)、 图2 (a )由集成3线-8线译码器74138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74138的功能表如图(b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74151的逻辑符号如图3所示,试用74151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 D m D m D m D m D m D m D m ?+?+?+?+?+?+?=7643100(3分) V O v 2 .1图 G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a) (b)A B Y 10000001111110000000C 11 1111 111Y 200 00 11 11001 输 入输 出

大工《数字电路与系统》课程考试模拟试卷A答案

大连理工大学网络教育学院 《数字电路与系统》课程考试模拟试卷答案 考试形式:闭卷试卷类型:A 一、单项选择题(本大题共6小题,每小题3分,共18分) 1.B 2.C 3.C 4.B 5.A 6.C 二、填空题(本大题共10空,每空2分,共20分) 1.(1) 213 (2) 12.04 (3) 40D (4) 10101001.1100 2. 三、化简题(本大题共3小题,每小题6分,共18分) =++ 1.答:F XYZ XY XY Z =+(3分) XY XY =(3分) Y 2.答:填卡诺图,圈1,得到最简与或表达式。

(3分) Y B AD ACD ∴ =++ (3分) 3.证明:0A ⊕ 00A A =+ (3分) A = (3分) 四、简答题(本大题共3小题,第1、2小题各7分,第3小题10分,共24分) 1.答: Q Q O O t t S R O O t t (错1处扣1分,图都正确7分满分) 2.答: ln( )DD T T DD T T V V V T RC V V V -+ +- -=- (3分)

3812 2.7 6.3 201010ln()s 12 6.3 2.7 --=????- (2分) 0.267ms 267μs == (2分) 3.答:(1)3 ref f 322821016V 210 V FSR R R ???===? (2分) (2)当123011X X X =时,333 166V 28o V FSR =-=-?=- (2分) 当123110X X X =时,366 1612V 28 o V FSR =-=-?=- (2分) (3),min 311 162V 28 o V FSR =-=-?= (2分) (4)3,max 3217 1614V 28 o V FSR -=-=-?=- (2分) 五、计算题(本大题1小题,共20分) 1.答:(1)分析。分别用变量A 、B 、C 代表三人的表决选择,变量取值可以为1和0,分别代表同意和反对,最后的表决结果为变量Y ,其取值0表示提议未通过,1表示提议通过。 (3分) (2)列出真值表,如下表所示。 五.1题真值表 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 (真值表3分) (3)化简逻辑函数,如下图(a )所示,得到 Y AB BC AC =++ (3分) (4)画出逻辑图,如下图(b )所示。 如果要求用与非门实现该逻辑电路,就应将表达式转换成与非-与非表达式: Y AB BC AC ABBC AC =++= (3分)

大学数字电路与逻辑设计考试试题资料

审核人: 试卷分类(A 卷或B 卷) B 学期: 2010 至 2011 学年度 第 一 学期 课程: 数字电路与逻辑设计 课程代号: 005A1080 使用班级: 信息工程学院08级 姓名: 学号: 一、基本题(30 1. 用公式法化简函数B A B A Y ++??=1(5分) 答案:C B A A C C B C A C A Y +)+?(=++??=1(1分)=C B A C +) +((1分) =C B A C B C ++?(1分) =)++(B A B C (1分)=C (1分) 2. 试用卡诺图法将下面逻辑函数化成最简与-或式。(必须画出卡诺图,圈“1”,8分) ∑ ∑)13,12,11,10,8 ,7,4,2(+15,14,9,6,10(= 2d m Y ), 答案: C B BC Y ?+=2 3. 已知74LS00为四个2输入与非门,其20=OL I mA ,1=OH I mA ,2=IL I mA ,μA 50=IH I ,计算74LS00最多可驱动几个同类门。(6分) 答案:IL OL ≥I N I L ,10=≤ IL OL L I I N (2分);IH H OH 2≥I N I ,10=2≤IH OH H I I N (2分);故10=H N (2分) 4. 画出图1.1所示D 触发器对应CLK 、、D 的Q 端波形。(4分) 答案: AB CD 00011110 1000 11 01 1 1 1111 × ×××× ×××1.1图(a)

字量为100000时,输出模拟电压O v 为3.6V ,计算输入数字量为10101000时,输出电压时多少?(7分) 答案:(1)=O v -i i i D V 22 ∑ 7 8 REF (3分) (2)=6.3-7 8 REF 2×2V ; =REF V -7.2V (2分) 输入数字量为10101000时, =O v -V 725.4=)2+2+2(2 2 .73578(2分) 二(10分)、 图2( a )由集成3线-8线译码器74HC138构成的逻辑电路,试分析其逻辑功能。要求:(1)写出输出端的逻辑表达式;(2)写出输出和输入真值表;(3)说明电路的作用。74HC138的功能表如图( b )所示。 答案:(1)74211+++=m m m m Y (2分) 76532+++=m m m m Y (2分) (2)(4分) (3)此电路为全加器。(2分) 三、(14分) 集成8选1数据选择器74HC151的逻辑符号如图3所示,试用74HC151和逻辑门实现下面逻辑函数: ∑ 14,13,9,7,3,10(= ),,,(2),m D C B A Y 要求:(1)确定地址输入;(2)写出数据输入端方程;(3)画出实现电路连线图。 答案:(1)设A A =2,B A =1,C A =0(3分) (2)D ABC D C AB D C B A BCD A CD B A D C B A D C B A D C B A Y ++?++?+??+???=),,,(2 V O v 2 .1图 Y G 1G 2A G 2B 1X +10X 11 0的功能表138HC 74i Y i m 的最小项组合、、为注:012i A A A m 2 图(a)(b)A B Y 10000001111110000000C 11 1111111Y 200 00 11 11001输 入 输 出

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

清华大学数字电路汇总题库

清华大学数字电路题库 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ)

B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A +

数字电路期末试题及答案(绝密)

《数字电子技术基础》期终考试试题(110分钟)一、填空题:(每空1分,共15分) 1.逻辑函数Y A B C =+的两种标准形式分别为 ()、()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。 7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门” 来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、 B、C的P、Q波形。

三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。 四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分)

北京航空航天大学2011《数字电路与系统》期末考试试卷(A 卷)

北京航空航天大学 2011 ~2012 学年第一学期 《数字电路与系统》期末考试试卷(A卷) 答案及参考评分标准 一、(10分,每小题2分)判断各题正误,正确的在括号内记“√”,错误的在 括号内记“×”。 (1) 对于十进制纯小数,求它的二进制表示可以采用“除2取余”法。………(×) (2) TTL门电路在高电平输入时,其输入电流很小(74系列每个输入端的输入电 流约为40μA)。…………………………………………………………(√) (3) 三态门输出为高阻时,其输出线上的电压为高电平。…………………(×) (4) 单稳态触发器的暂稳态维持时间的长短取决于外界触发脉冲的频率和幅 度。…………………………………………………………………………(×)(5) 当时序逻辑电路存在无效循环时,该电路不能自启动。………………(√) 第 1 页共11 页

三、(15分)如图3-1(1) (2) (3) 化简后的结果Y 2(解: (1) Y (A ,B ,C ,D )=B A ??(2) Y 1(A ,B ,C ,D )=C B ?说明:(3) Y 2(A ,B ,C ,D )= Y 1(A ,说明:

四、(15分)已知电路原理图如图4-1所示,CP1、CP2的波形如图4-2所示, 设触发器的初始状态均为“0”,请在图4-2中画出输出端B和C的波形。 图4-1 图4-2 解: 评分标准:①只要画对任意一次B、C和CP1/CP2的配合关系,即可得7分,其余二次,B和C的波形每对1次,2分;②如果三次配合关系都不对,则B和C 的波形每对1次,2分;③如果画得配合关系无法识别,则能够正确识别上升沿触发,每对1次,1分;④如果画出了异步清零的门传输延迟,则是更加精确的答案,同样可以得分,且可以在出现其它失误的时候可以酌情加1~2分。 第 4 页共11 页

山东师范大学数字电路期末考试试卷

第1页(共8页) 第2页(共8页) 《数字电路》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 题 号 一 二 三 四(1) 四(2) 四(3) 四(4) 总 分 得 分 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码 时,它相当于十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( ) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. 下图所示电路中, Y 1=( );Y 2 =( );Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值 是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A . 八 B. 五 C. 四 D. 三 A B Y 1 Y 2 Y 3 000 001 010 011 100 101 110 111

数字电路设计试题湖南大学版完整版

数字电路设计试题湖南 大学版 集团标准化办公室:[VV986T-J682P28-JP266L8-68PNN]

10、时序电路按(输出与现态和输入的关系)可分为:Mealy型和Moore型 二、判断题(下列各题,你认为正确的,请在题末的括号内打“√”,错的打“×”,并更正。每小题2分,共10分) 1、如果逻辑函数表达式在一定条件下可变成X+X‘或者XX’的形式,则该函数表达式可能产生冒险。(√) 2、本质冒险产生的原因:经过激励逻辑和反馈通路的传播延迟的最小值大于通过“输入逻辑”的最大定时偏移。(√) 3、CMOS反向门比非反向门所用的晶体管要少。(√)

4、如果竞争的结果导致电路最终进入同一稳定总态,则称为临界竞争。(×) 5、门电路的扇出是表示输出电压与输入电压之间的关系。(×) 门电路所具有的输入端的数目称为扇入。 扇出是指该门电路在不超过其最坏情况负载规格下能驱动的输出端个数。 三、简答题(每题5分,共10分) 1、请列出3种“曾经是模拟的”现在却“已经成为数字的”系统,并简述为什么会有这种转变。 2、采用CMOS晶体管实现的“与非门”和“或非门”,哪个速度快为什么 四、应用题(共70分) 1、已知接收端收到的汉明码码字a7a6a5a4a3a2a1=1100010,问在最多一位错的情况下发送端发送的码字是什么?(5分) 答:第三位出错,应该是1100110 2、用卡诺图化简下列函数:(5分) 3、旅客列车分为特快A,直快B和慢车C,它们的优先顺序为:特快、直快、慢车。同一时间内,只能有一趟列车从车站开出,即只能给出一个开车信号,试设计满足上述要求的开车信号控制电路。(10分) (1)列出真值表(5分) (2)写出最简的输出逻辑表达式(5分) 4、运用一个MSI器件实现余3码向8421BCD码的转换。(10分) 5、运用“圈到圈”逻辑设计思想,采用74X138译码器和适当的逻辑门设计一个1位十进制数2421码的奇偶位产生电路(假定采用奇检验)。(10分) 注:此题中用的不熟74138。我们在做时要用两个74138级联。详见教材P274 6、分析下图所示的时钟同步状态机(状态Q1Q2=00 ~ 11使用状态名A ~ D)。(10分) 1)作出状态/输出表(5分)。 2)说明它是Mealy机还是Moore机(2分) 3)说明这个电路能对何种输入序列进行检测。(3分) 答案没有找到。同类型题7.12 7、作“0101”序列检测器的Mealy型状态表和Moore型状态表。凡收到输入序列为

安徽大学数字电路期末考试试题一汇编

安徽大学数字电路期末考试试题一 一、填空题(40分每空2分) 1.二极管内含PN结,PN结在导电性能上的最大特点是______________________________________. 2.TTL电路和CMOS电路相比较明显的特点是,工作速度上_________________________________________,功耗上_____________________________________________。 3.要表达一个逻辑函数通常有_________________,___________,______________,____________,____________ _______等常见的方法。 4.组合逻辑电路中容易产生竞争冒险,消除竞争冒险的方法有___________________,__________________,____________________。 5.在电容器电路中,电容器两端的电压最大的特点是___________。 6.A/D转换是将模拟信号转换为数字信号,转换过程有__________,______________,_______________,_________________。 7.函数Y=BC+AB的反演式为____________________对偶式是_______________________________________。 8.三极管在适当的便置电压下有放大状态和_____________,______________,___________________等三种状态。 二计算题(10分) 1.将下列各数转换为二进制数 (58)10=()2 , (89)10=()2

上海大学 数字电路习题

1、 观察下面的原始状态图,是否有可以简化的状态,如果有,画出简化状态图 2、分析图1时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。 图1 3、 试用Jk 触发器设计下图2的同步时序电路, 写出电路的驱动方程、 状态方程和输出方程, 画出电路的时序电路图,说明电路共有几个状态、需要几个 JK 触发器、各触发器激励信号卡诺图并判断电路能否自启动。 图 2 4、 用D 触发器和门电路设计如下图状态图所示的时序电路,写出电路的驱动方程、状态方 程和输出方程,画出电路的时序电路图,说明电路共有几个状态、需要几个D 触发器、各触发器激励信号卡诺图并判断电路能否自启动。 图3

5、已知状态表如下,画出相应的状态图 可编程阵列逻辑PAL 1.PAL的常用输出结构有()、()、()和()4种。 2.字母PAL代表()。 3.PAL与PROM、EPROM之间的区别是()。 (a)PAL的与阵列可充分利用 (b)PAL可实现组合和时序逻辑电路 (c)PROM和EPROM可实现任何形式的组合逻辑电路 4.具有一个可编程的与阵列和一个固定的或阵列的PLD为()。 (a)PROM (b)PLA (c)PAL 5.一个三态缓冲器的三种输出状态为()。 (a)高电平、低电平、接地(b)高电平、低电平、高阻态 (c)高电平、低电平、中间状态 6.查阅资料,确定下面各PAL器件的输入端个数、输出端个数及输出类型。 (a)PAL12H6 ()()() (b)PAL20P8 ()()() (c)PAL16L8 ()()() 通用阵列逻辑GAL 1.GAL具有() (a)一个可编程的与阵列、一个固定的或阵列和可编程输出逻辑 (b)一个固定的与阵列和一个可编程的或阵列 (c)一次性可编程与或阵列 (d)可编程的与或阵列 2.GAL16V8具有()种工作模式。 3.GAL16V8在简单模式工作下有()种不同的OLMC配置;在寄存器模式工作下有()种不同的OLMC配置;在复杂模式工作下有()种不同的OLMC配置。 4.GAL16V8具有()。 (a)16个专用输入和8个输出 (b)8个专用输入和8个输出 (c)8个专用输入和8个输入/输出 (d)10个专用输入和8个输出 5.如果一个GAL16V8需要10个输入,那么,其输出端的个数最多是()。 (a)8个(b)6个(c)4个

数字电路试卷与答案

………密………封………线………以………内………答………题………无………效…… 电子科技大学二零零六至二零零七学年第二学期期末考试 试卷评分基本规则 数字逻辑设计及应用课程考试题中文A卷(120分钟)考试形式:闭卷考试日期2007年7月日课程成绩构成:平时20 分,期中20 分,实验0 分,期末60 分 一、填空题(每空1分,共5分) 1、CMOS与非门的未用输入端应连接到逻辑(1)电平或者输入信号连接端上。 2、DAC的功能是将(数字)输入成正比地转换成模拟输出。 512 EPROM可存储一个(9 )输入4输出的真值表。 3、4 4、74X163的RCO输出有效条件是:仅当使能信号(ENT)有效,并且计数器的状态是15。 5、已知二进制原码为 ( 001101) 2 , 问对应的8-bit的补码为 ( 00001101 )2. 二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分) 1、八路数据分配器的地址输入端有(B)个。 A. 2 B. 3 C. 4 D. 5 2、以下描述一个逻辑函数的方法中( C )只能唯一表示。 A.表达式 B.逻辑图 C.真值表 D.波形图 3、实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要的( B )。 A. 状态数目更多 B. 状态数目更少 C. 触发器更多 D. 触发器更少 4、使用移位寄存器产生重复序列信号“1000001”,移位寄存器的级数至少为(D)。 A. 2 B. 3 C. 4 D.5 5、下列各逻辑函数式相等,其中无静态冒险现象的是(D)。 A. F=B’C’+AC+A’B B. F=A’C’+BC+AB’ C. F=A’C’+BC+AB’+A’B D. F=B’C’+AC+A’B+BC+AB’+A’C’

数字电路试卷

电子技术基础(数字部分) 一、选择题(每题2分,共30分) 1.决定一事件结果的所有条件中要求所有的条件同时满足时结果就发生,这种条件和结果的逻辑关系是( )。 A.与 B.或 C.非 D.异或 2.在下图的逻辑符号中,能实现F=AB 逻辑功能的是( )。 3.同或的逻辑表达式为:( )。 A.L AB AB =+ B. L AB AB =+ C.L AB AB =+ D. L A B =+ 4.SR 锁存器是一种_______稳态电路。( ) A.无 B.单 C.双 D.多 5.基本SR 锁存器,当SR 锁存器状态不确定时,( )。 A . S=0;R=0 B.S=0;R=1 C.S=1;R=0 D.S=1;R=1 6.R-S 型触发器的“R ”意指( )。 A.重复 B.复位 C.优先 D.异步 7.下列电路中,不属于组合逻辑电路的是( )。 A .译码器 B .全加器 C .寄存器 D .编码器 8.一个8选一数据选择器的数据输入端有_______个。( ) A.1 B.2 C.8 D.4 9.组合逻辑电路消除竞争冒险的方法有( )。 A.前级加电阻 B .在输入端接入滤波电容 C .后级加缓冲电路 D.屏蔽输入信号的尖峰干扰

10.__________不能将减法运算转换为加法运算。() A.原码 B.反码 C.补码 11.逻辑函数F=A⊕B和G=A⊙B不满足关系_________。() A.F=G B.F’=G C.F’=G D.F=G⊕1 12.使JK触发器在时钟脉冲作用下,实现输出 n n Q Q= +1 ,则输入端信号应为()。 A.J=K=0 B.J=K=1 C.J=1,K=0 D.J=0,K=1 13.设计一个同步10进制计数器,需要________触发器。() A.3个 B.4个 C.5个 D.10个 14.数值[375]10与下列哪个数相等。() A.[111011101]2 B.[567]8 C.[11101110]BCD D.[1F5]16 15.凡在数值上或时间上不连续变化的信号,称为 ( )。 A、模拟信号 B、数字信号 C、直流信号 D、交流信号 二、填空题(每空1分,共20分) 1.某通信系统每秒钟传输1000000位,那么每位数据的占时间__________________; 2.最基本的门电路是:________、________、__________。 3.发光二极管构成的七段显示器有两种,分别是___________和_____________电路。 4.三态门(TS门)的输出状态除了高电平或低电平两种状态外,还有第三状态是。 5.触发器是一种对_________敏感的存储电路。 6.组合逻辑电路不含具有__________功能的元件。 7. 2002个‘1’异或的结果是_____. 8.对30个信号进行编码,采用二进制编码需________位输出。 9.如图所示逻辑电路的输出Y= 。 10.数模转换器的输入为8位二进制数字信号(D7~D0),输出为0~25.5V的模拟电压。若数字信号的最

武汉大学数字电路期末试卷

武汉大学 2011—2012学年度第一学期期末考核试卷 考核课程: 数字逻辑电路及实验 考核类型: 考 试 考核形式: 闭 卷 学生所在院系: 计算机学院 班 级: 试 卷: B 1、10(68)= ( )2=( )8=( )8421BCD 2、逻辑代数又称为布尔代数。最基本的逻辑关系有:与、 、 三种。 3、逻辑函数F AB AB =+的反函数F = ,对偶函数' F = 。 4、主从JK 触发器的特性方程 ,D 触发器的特性方程 。 5、已知函数(,,)(1,3,5)F A B C m = ∑,可知使函数0F =的最小项有 个。 6、如果对键盘上108个字符进行二进制编码,则至少要 位二进制数码。 7、逻辑函数F A B A =⊕⊕= 。 8、A/D 转换器的一般要经过 、 、 及 等过程。 9、已知12位二进制DAC 满度输出为10V ,它的分辨率为 。 10、某芯片的容量为512×4位,则该芯片有 根地址线和 根数据线。如果用该芯片构成4K×8的存储系统,则需要该芯片的数目为 片。 11、施密特触发器的应用很广泛,典型的应用包括波形的整形与抗干扰、 和 等。 命题教师 邓老师 院系负责人签字 一、填空题 (每空1分,共22分)

1、试用代数法求函数(,,)F A B C AB BC ABC ABC ABC =++++的最简与或式。(9分) 2、试用卡诺图法求逻辑函数F (A,B,C,D)m (3,5,6,9,12,13,14,15)+ (0,1,7)=φ∑∑的最简与或式。(9分) 1、维持阻塞型的上升沿触发的D 触发器接成下图所示电路,CP 波形如图所示,试写出该触发器的状态方程,并画出Q 的波形。(假设触发器初态为“0”态)(7分) 2、组合逻辑电路及输入信号的波形如图所示,试写出输出信号F 的逻辑表达式并画出输出信号F 的波形。(8分) 二、化简题(9+9=18分) 三、画波形题(7+8=15分)

华中师大《数字电路》练习测试题库及答案

华中师范大学网络教育学院 《数字电路》练习测试题库及答案 一、单项选择题。 1、在下列逻辑电路中,不是组合逻辑电路的是------ 。 A. 译码器 B. 编码器 C. 全加器 D. 寄存器 2、时序电路可以由____________组成。 A.门电路 B. 触发器或门电路 C. 触发器或触发器和门电路的组合 3、时序电路输出状态的改变____________。 A. 仅与该时刻输入信号的状态有关 B. 仅与时序电路的原状态有关 C. 与以上两者皆有关 4、组合电路的竞争和险象是指___________。 A. 输入信号有干扰时,在输出端产生了干扰脉冲 B. 输入信号改变状态时,输出端可能出现的虚假信号 C. 输入信号不变时,输出端可能出现的虚假信号 5、仅具有“置0” “置1”功能的触发器叫___________。 A. JK触发器 B. RS触发器 C. D触发器 6、译码电路的输入量是____________。 A. 二进制 B. 十进制 C. 某个特定的输入信号 7、由n个JK触发器构成的扭环形计数器,其有效计数状态共有___________个 A.n;B.2的n次方;C.2n 8、编码电路和译码电路中,____________电路的输出是二进制代码。 A. 编码 B. 译码 C. 编码和译码 9、ROM是指_____________。 A. 随机读写存储器 B. 只读存储器 C. 不可擦除式只读存储器 D. 可擦可编程只读存储器 10、寄存器在电路组成上的特点是____________。 A. 有CP输入端,无数据输入端 B. 有CP输入端和数据输入端 C. 无CP输入端,有数据输入端 11、组合电路的竞争和险象是指___________。

相关主题
文本预览
相关文档 最新文档