当前位置:文档之家› 基于数电的病房呼叫系统--绝对经典完整实用!

基于数电的病房呼叫系统--绝对经典完整实用!

基于数电的病房呼叫系统--绝对经典完整实用!
基于数电的病房呼叫系统--绝对经典完整实用!

二级项目报告书

摘 要

本次的课程设计是要设计一个病房呼叫系统。该系统能对6张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床都有其对应灯进行提示,还能显示优先级最高的呼叫病床号,并由蜂鸣器发出呼叫声,当护士接受到信号,便赶到优先级最高的病人房间,把该床的灯熄灭,从而显示次优先级的病床,以此类推。该设计主要是用多个开关、74LS148优先编码器、74LS47译码器、74LS04反向器、七段共阳LED数码管、蜂鸣器、发光二极管和若干个逻辑门电路来实现的。

关键词:病房呼叫系统,优先级,逻辑门电路

汕头大学工学院二级项目报告书

Abstract

目 录

1. 项目总体设计目标及设计方案(附系统总体框图) (4)

1.1项目总体设计目标 (4)

1.2设计思路及方案 (5)

1.2.1设计思路 (5)

1.2.2 设计方案 (5)

1.3.系统总体框图 (6)

2.各部分电路设计及工作原理 (7)

汕头大学工学院二级项目报告书2.1病房按键部分 (7)

2.2编码器部分 (9)

2.3 数码管显示部分 (10)

2.4指示灯的部分 (11)

2.5蜂鸣器部分 (12)

2.6 电源部分 (13)

3. 仿真结果及分析 (15)

3.1电路设计 (15)

3.2仿真结果 (16)

3.3仿真分析 (17)

4. 项目设计和调试中存在的主要问题及采取解决方法 (17)

4.1 电路设计中主要存在的问题及解决方法 (17)

4.2 仿真过程中主要存在的问题及解决方法 (18)

5. 项目的创新之处及其是否可进行功能扩展 (19)

5.1项目创新之处 (19)

5.2 功能扩展 (19)

6.所用元器件一览表(元器件型号、功能、数量、成本核算) (19)

7. 组内详细分工情况及完成情况 (20)

7.1组内分工 (20)

7.2完成情况 (20)

参考文献 (21)

附录:会议记录(四份) (22)

汕头大学工学院二级项目报告书

1. 项目总体设计目标及设计方案(附系统总体框图)1.1项目总体设计目标

本项目的设计目标是制作一个六路的简易病房呼叫系统,主要目的是制造出一个能够满足实现医院能及时照顾病人的功能的系统。目前这样的呼叫系统在医院里已经是十分常见的了,几乎所有的病房里都配备了这样的呼叫系统。当病人有危急情况出现时,通过按安装在床边的呼叫按钮,若床边的警示灯点亮,则表明呼叫成功,此时在护士值班台那边能发出呼叫的声音以及数码管显示呼叫病房号,根据病人的病情来设置显示的优先级别以确保病情最重的病人最先得到医治等等。这个系统是十分实用并且高效的,因而设计它是非常有意义的。

以下是设计一个简易病房呼叫系统所要满足的要求:

●能满足来自6个病房的呼叫,设置6个病房呼叫开关;

●当有多个病房同时呼叫时,护士值班室显示优先级别最高的病房且发出

呼叫声;通过亮灯显示病房的呼叫。

●对低优先级的呼叫暂不理睬,处理完高优先级的呼叫,再进行低优先级

呼叫的处理

●增加的功能:蜂鸣器的呼叫报警功能

汕头大学工学院二级项目报告书 可以通过多个芯片的连接来满足数量更多的病房的呼叫

1.2设计思路及方案

1.2.1设计思路

首先,要明确病房呼叫系统的最终目的。这次项目主要是通过控制六个开关高低电平的切换来使七段数码管显示对应的数字,从而模拟出实际生活中医院对病房管理的方式。其次,因为系统有六条线路,所以我们就利用了8线3线编码器74LS148其中的六线进行编码,从而控制对应的病房号。其主要作用是控制病房号的优先级别。接着,因为我们选用了共阳七段数码管,所以我们就选择了74LS47共阳译码器来驱动数码管,它是3线8线译码器。再者,因为需要发光二极管的亮灯来提示对应病床号的呼叫,二极管具有单向导电性,而按键为低电平导通74ls148,所以我们选择了74LS04反相器来控制发光二级管的熄灭与点亮。最后,我们还加了一个蜂鸣器作为报警呼叫器,当病人按下病房里的按钮时,对应的警示灯就会点亮,此时蜂鸣器就会发出报警声,关闭蜂鸣器不会影响数码管的显示。

我们的项目是设计六路的呼叫线路,而且还要考虑各个线路的优先级别,下面我就模拟一个场景来讲解一下我们项目的工作流程: 假设现在医院里的护士值班台要照顾六个病房的病人,编号分别是1、2、3、4、5、6,护士值班台安装有一个蜂鸣器以及六盏对应各病房的灯。工作上班时间,蜂鸣器是一直开着的,只有当病人按下病房里的呼叫按钮时它才会报警。如果只有一个病房发起呼叫,即只有一盏灯亮,护士可以去到对应的病房里把灯关了,处理完病人的事情就可以了。如果同时亮了几盏灯,数码管此时只显示优先级最高的病房号,等护士去处理该病房的病人时,关掉按钮,数码管接着显示此优先级的病房号,等护士处理完事情后返回值班台即可看到下一个要处理的病房号,以此类推。1.2.2 设计方案

用74LS148优先编码器来控制和实现病房呼叫的优先级别显示,用74LS47七段字形译码器接LED数码管来用数字显示最高优先级的病房号,用74LS04反

汕头大学工学院二级项目报告书

向器来控制警示灯的亮和熄灭,用发光二级管来作为病人呼叫时的警示灯,用蜂鸣器来作为报警器。

1.3.系统总体框图

汕头大学工学院二级项目报告书

2.各部分电路设计及工作原理

2.1病房按键部分

此处设计选择这种单刀拨动开关,接通1脚为高电平,接通3脚为低电平。

原先本来打算用轻触型按键开关,但是用这种开关的话,由于按键按下后会自动弹起,不能将电平一直保持,所以要保持电平的话需要接触发器,而后数据清零还要另外加清零电路,设计比较繁琐复杂,对于简易病房的设计我们力求简单实用,于是便选择了这种拨动开关,既节约了成本也避免了设计复杂电路的麻烦。

汕头大学工学院二级项目报告书

汕头大学工学院二级项目报告书2.2编码器部分

该部分选用74ls148优先编码器,此编码器为8线-3线编码器,可以实现对8个输入的优先选择,我们这里只需要用到6个病房的输入,另外两个引脚接高电平。

74ls148的引脚图

汕头大学工学院二级项目报告书

2.3 数码管显示部分

此部分我们选用共阳数码管,对应的芯片选用驱动共阳数码管的74ls47。开始的设计中我们计划选用CD4511驱动共阴的数码管,但是为了保护数码管的LED 灯,我们必须加上7个限流电阻,这样在设计上和成本上都不划算,所以我们改用了共阳数码管。

74ls148的真值表

汕头大学工学院二级项目报告书

2.4指示灯的部分

由于74ls148编码器输入管脚为低电平有效,故当拨动开关接低电平是电路

74ls47对应的数码管显示

74ls47的功能表

汕头大学工学院二级项目报告书

接通,此时需要接上一个反相器来驱动共阴发光二极管。

2.5蜂鸣器部分

此部分设计比较简单,只是用一个NPN 三极管来驱动蜂鸣器,蜂鸣器接开关的目的在于,当有多个病房同时呼叫时,护士可以先把刺耳的蜂鸣声关闭后(数码管仍显示呼叫病房号),再去处理病人。

由于此部分与发光二极管是串联起来的,经我们在面包板上进行实物连接发

74LS04的管脚图及原理图

汕头大学工学院二级项目报告书现,虽然蜂鸣器能正常发声,但是二极管的亮度却明显暗了很多,经过多次的实验,我们最终决定给每个二极管加上560欧的上拉电阻,电路图如下:

2.6 电源部分

电源部分较为简单,两脚电源端为外接5V稳压电源的输入。至于外接的5V 稳压电源,我们是做在另外单独出来的板子上的,这样的好处是:单独出来的稳压电源实用性较高,不仅可以用于本项目,还可以用在其他需要5V电源的项目中,避免做每块板子都要另外设计5V稳压模块,降低了制作成本,体现了节约资源节约成本的思想。

汕头大学工学院二级项目报告书

5V 稳压电源采用L7805模块

总电路图

汕头大学工学院二级项目报告书3. 仿真结果及分析

3.1电路设计

3.2仿真结果

汕头大学工学院二级项目报告书

汕头大学工学院二级项目报告书

3.3仿真分析

本次电路设计,主要通过利用所学到的74LS系列逻辑芯片以及共阳数码显示管,仿真出如图(一)中的电路。按下开关后,病床呼应电路上端一直处于接通状态,当某病人按下按键后,该病床开关打向另一边,使对应的分支电路处于断开状态。由于警示灯LED与逻辑非门串联,当逻辑非门左端输入为0,则逻辑非门右端输出1,接通呼叫电路,使警示灯LED发亮和蜂鸣器呼叫。另外,利用74LS 系列的逻辑芯片,使显示管显示优先级数字。数字越低,优先级越高,则即使在多个按键按下后,护士也能清楚知道哪位病人更加急需帮助或者救援。待护士帮助该病人,直接把按键恢复至原来的位置即可。

4. 项目设计和调试中存在的主要问题及采取解决方法4.1 电路设计中主要存在的问题及解决方法

呼叫系统功率小。刚开始设计电路的时候,本来是利用电阻直接串联至警示灯LED电路中。然而multisim仿真软件中的仿真电路时有明显的发亮,但是通过实物连接电路后发觉由于输出功率较小,警示灯LED发亮程度较低甚

汕头大学工学院二级项目报告书至不亮,需要进一步的修改。后通过上拉电阻,加大通过LED的电流从而提高输出功率,使警示灯LED发光.

●利用555定时器设计蜂鸣器电路。本想通过利用555定时器去控制蜂鸣器,

但是由于将两者连接,mutisim软件均告之有错误,因此改用三极管直接连接蜂鸣器,使其在按下按键后能够发出响声。

●数字仿真时,当没有任何人按键时,数码管显示所产生的数字是7,对应的

数字是0111,这与实验要求所产生的数字0也就是对应的BCD码0000相矛盾。由于现有知识有限,暂不能解决该问题。

●原仿真电路本是利用4511BD译码器连接共阴数码显示管。不过后来由于实

验器材的有限,只有共阳数码显示管,则通过已学知识和曾经做过的实验去改至为利用74LS47译码器连接共阳数码显示管

4.2 仿真过程中主要存在的问题及解决方法

●项目开始设计时由于查找的资料有限,用CD4511驱动共阴数码管,但后来

发现用74ls47驱动共阳数码管会给设计上带来方便,因为接共阴数码管的话还要给七段LED接限流电阻,而共阳数码管只需要在共阳端接一个限流电阻,节省了材料。

●之前的设计是给74ls47,74ls148以及74ls04三个集成电路单独供电,这样

连接的实验结果是:当按键全未接通时,数码管显示为7。虽然我们设计的系统只有六个病房,即使数码管显示7对整个系统也没有太大影响,因为系统中没有7号病房,护士可以不予理睬,但是如果有更好的方法就更好。于是再三查看三个集成电路的管脚功能以及真值表,最后采用把74148的EO 端直接接7447的电源端,当按键未接通时EO端为低电平,数码管不显示,当按键按下时,EO端为高电平,电压在7447供电范围内,7447稳定工作,数码管显示对应的病房按键。

●蜂鸣器报警部分本来计划是采用两片555定时器来实现滴滴声报警,但是软

件硬件实验结果都不成功,最后决定采用比较简洁的方法,直接用一个三极管驱动蜂鸣器实现连续发声的蜂鸣声。

●接上蜂鸣器后,由于蜂鸣器和发光二极管是串联的,实验现象为蜂鸣器正常

汕头大学工学院二级项目报告书工作,但发光二极管就明显的暗了很多,于是给每个发光二极管都接上了510欧的上拉电阻,问题迎刃而解。

5. 项目的创新之处及其是否可进行功能扩展

5.1项目创新之处

●采用直拨开关呼叫,而不是采用轻触按键呼叫,免去了设计D触发器的电

路,也免去了按键清零的设计步骤,节省了设计时间,也节省了成本。

●采用三极管驱动蜂鸣器,而不是555集成电路,简化了复杂的电路,同样可

以达到声音报警的效果。

●采用共阳数码管,而不是采用共阴数码管,省去了6个限流电阻,使设计更

加简洁,同时也节约了成本。

●74ls148的EO输出端直接接74ls47的电源供电端,当没有按键按下时,EO

端为低电平,74ls47不工作,数码管无显示;当有按键按下时,EO端为高电平,74ls47正常工作,数码管显示对应的病房号。如果74ls47单独接电源端供电的话,当没有按键按下时,数码管显示为7,容易让护士产生误解以及不便。

●每个按键对应一个发光二极管,当按键接通时,二极管会被点亮,当按键

未接通时,二极管熄灭。这样,当按键出现物理原因的损坏而不能正常接通时,病人可以通过观察二极管的亮灭来判断按键是否接通,避免了“虚按”的情况出现。

5.2 功能扩展

病房的增加的同时,本系统可以通过多个74148编码器的连接实现多路的输入以及对应的优先权判断和现实病房号。

6.所用元器件一览表(元器件型号、功能、数量、成本核算)

名称 型号 功能 数量(个)成本核算(元)

电阻 470欧 限流 1 0.1 560欧 上拉 6 0.6 220欧 限流 2 0.2

汕头大学工学院二级项目报告书直拨按键 三脚二档 病房呼叫 6 1

六角自锁按键 7*7 接通关闭蜂鸣器 1 1.5 三极管 9011 驱动蜂鸣器 1 0.5

集成电路 74ls148 优先编码器 1 4 74ls47 3-8译码器 1 4 74ls04 反相器 1 4

七段数码管 5106BS 显示病房号 1 2

发光二极管 5mm红色 病房按键指示灯 6 3 5mm绿色 电源灯 1 0.5

覆铜板 单面15*20 印制PCB 1 5

电路板铜柱 3mm 支撑电路板 4 2 电线 细的 连接PCB跳线用 若干 2

排针 小的 电源输入输出端 6 0.5

电解电容 470uf 25v 5v稳压用 2 2

5v稳压管 L7805 稳压输出5v 1 2

整流二极管 1N4007 整流 1 0.5 洞洞板 10*7 稳压输入端 1 2

总计 37.40

7. 组内详细分工情况及完成情况

7.1组内分工

7.2完成情况

组内每位成员都能尽自己的力量,按时完成工作。有时碰到一些比较难的问题,组内成员会互相帮助,发挥团队精神通力合作。虽然在最初我们确定采用单片机作为主要的元件,但由于项目要求用数电知识来做,因此我们需要对电路图

医院病房呼叫器的设计_绝对经典

课程设计任务书 课程名称电子线路课程设计 课程设计题目医院病房呼叫器的设计 课程设计的容及要求: 一、设计说明与技术指标 1.用1~4个开关模拟4个病房的呼叫输入信号,1号优先级最高;1~4优先级依次降低; 2.用数码管显示呼叫信号的;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]:高等教育,2006年 2. 阎石,数字电子技术(第五版).[M]:高等教育,2005. 3. 孝彬《555集成电路实用电路集》高等教育2002-8 4. 王刚《TTL集成电路应用》机械工业2000-10 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日一、概述

本设计的主要目的是实现一个当医院病房发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。用于医院病房需要呼叫具有优先级别的呼叫系统。当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。使用该系统,不仅能够提高医生的工作效率,便于医生及时了解病人的实际状况,还能够让病人的需要及时得到满足。 二、方案论证 根据设计要求,将此设计分为几个模块来设计,分别为:指示灯显示模块,优先显示模块,报警模块。首先用四个开关来代替四个病房的呼叫按钮,四个二极管灯代表四个病房,当开关闭合后,对应的病房的灯发光,然后利用与非门74LS30的功能,当其输出为高电平时,就会使NE555芯片产生脉冲信号,然后使NE555芯片的out输出端产生高电平,促使报警器报警,根据NE555芯片的外接电阻和电容的大小,可调整报警器的报警时间。当开关断开时,即74LS30输出为低电平,所以NE555芯片没有被触发,其out输出端为低电平,报警器没有报警,也就是病房没有病人呼叫,一切正常。开关闭合后,将经过存储的信号送入优先编码器74HC148,根据优先编码器的优先选择功能选出优先级最高的呼叫信号,再通过译码器74LS48译码,最后通过数码管显示报警的病房,然后医生会及时的根据报警情况去查看病人。此方案的论证流程图如图2.1。 图1 病房呼叫系统电路的原理框图 三、单元电路设计 1、指示灯显示模块

病房呼叫系统设计报告

病房呼叫系统设计报告 一、设计要求 (2) 二、设计的具体实现 (2) 1、系统概述 (2) 2、单元电路设计与分析 (4) 2.1 5秒呼叫模块 (4) 2.2 呼叫显示模块 (6) 2.3 优先显示模块 (7)

一、设计要求 此设计是用于医院病人的紧急呼叫,其设计要求如下: 1.当病人按下呼救信号按钮,呼救灯亮,同时显示病人编号,蜂鸣器发出5秒呼救声,等待医护人员来护理。 2.按照病人的病情划分出优先级别,有多个病人同时呼救时,系统优先显示最高级别的呼救编号。 3.当医护人员处理完最高级别呼救后,按下清零键,系统按优先等级先后显示其他病人编号。 二、设计的具体实现 1.系统概述 本设计的指导思想是设计一个当病人紧急呼叫时,产生声光提示,并显示病人编号;然后根据病人病情进行优先级别设置,当有多人呼叫时,病情严重优先;医护人员处理完当前最高级别的呼叫后,清除已处理的最高级别的呼叫信号,系统按优先级别显示其他呼叫病人的编号。由呼叫信号的锁存,CD4532优先编码,由744511译码显示和逻辑控制清除几部分构成,其核心在CD4532优先编码器。 方案:病房呼叫系统的逻辑门电路如图1.1所示。它由模拟开关、优先编码器、锁存器、数码管、逻辑门、信号灯、单稳态触发器、蜂鸣器组成。模拟病房号通过优先编码器显示优先级最高的病床号。并且通过锁存器储存起来,按R键将清除已处理的信号。 病房呼叫系统的逻辑方框图

由上述图文说明可看出此方案能够对最优先级别的呼叫信号进行处理,编码和译码,最后显示出来。在完成最优先级呼叫信号的处理之后,可以通过医护人员手动复位,从而对其他信号的处理。 工作原理:用D锁存器锁存,再用一个8线-3线优先编码器4532对病房号编码,再用译码器4511译出最高级的病房号。当有病房号呼叫时,通过译码器和逻辑门触发(由555构成的单稳触发器)从而控制蜂鸣器发出5秒钟的呼叫声。呼叫信号控制晶闸管从而控制病房报警灯的关亮。若有多个病房同时呼叫,待医护人员处置好最高级的病房后,由人工将系统的复位(手动)。 工作流程图

病房呼叫系统设计

毕业设计(论文) 题目:病房呼叫系统设计 院 (系):机电工程系 专业:电气自动化技术 姓名:马兆龙 学号: 58020220100138 指导教师:刘静 二〇一三年一月十六日

毕业设计(论文)任务书

毕业设计(论文)进度计划表

毕业设计(论文)中期检查记录表

病房呼叫系统是病人请求值班医生或护士进行诊断、护理的紧急呼叫工具,它主要用于协助医院病员在病床上方便地呼叫医务人员,可将病人的请求快速传送给值班医生或护士,是提高医院和病房护理水平的必备设备之一。医院的竞争越来越激烈,商业医院的生存是第一位的,提升档次和服务质量迫在眉睫,陪护问题一直是医患矛盾的主体,也是长期困扰卫生系统服务质量的大问题,使用病房呼叫系统,方便病人更快找到医生,以节约病人的宝贵时间。 系统是基于51系列的单片机设计的病床呼叫系统。该系统以AT89C51单片机为核心辅以矩阵键盘、LED显示电路和部分简单模拟和数字电路组成的能够实现病人和医护人员之间信息的传递。每个病人要呼叫可以按键,同时会有蜂鸣器响,不同的数码管显示床位号,相应的指示灯亮。这里主要矩阵键盘输入信号,这是编程的关键。 在该设计中每个病房都有一个按键,当患者有需要时,按下按键,此时值班室的显示屏可显示此患者的床位号,多人使用时可实现循环显示,医护人员按下“响应”键取消当前呼叫。此系统能够为医院提供一个成本低、效率高、操作方便和易于安装维护的快捷系统。 关键词:AT89C51 矩阵键盘 LED 病床呼叫

Ward call system is the patient's request to the doctor on duty or nurse emergency call tools for diagnosis, care, and it is mainly used to assist the hospital patient in the bed easily call the medical staff, the patient's request can be quickly sent to the duty doctor or nurse is to improve one of the essential equipment of hospital and ward level of care.Increasingly fierce competition in the hospital, commercial hospital survival is the first to enhance the grade and quality of service is imminent,accompany the issue has been the main body of the patient conflict, but also long-troubled health system quality of service issues, using the ward call system more convenient for patients to find a doctor to save the valuable time of the patient. The system is a ward call system based on the 51 series micro-chip design. The sy-stem uses AT89C51 micro-controller as the core, supplemented by matrix keyboard, LED dot matrix display of a simple circuit and some analog and digital circuits to achieve the transfer of information between patients and health care. In this design,each ward has a button, when patients in need, press the button, the display of the duty room to display the number of beds in this patient, people use to achieve circular display, press the medical staff "response" to cancel the current call. This system can provide a low cost, high efficiency, easy operation and easy installation and maintenance system for the hospital. KEY WORD :AT89C51 matrix keyboard LED ward call

病房呼叫系统方案

呼叫系统方案 主机与病员一览表合二为一,并设有万年历、呼叫床位指示灯和病房床位数码显示窗口,外壳超薄型设计,造型特别精巧,可壁挂、桌面任意放置;分机采用最新编码电路编号,变更床号只需更换编码芯片即可,外壳采用了全塑外扣式设计,适用于任何形式的安装配套;分机为内压线式接线,实现了房间内无断线的连接。使用、安装、维护更安全更方便。: 1、该医院呼叫系统双向呼叫、双功通话:分机可呼叫主机,主机也可呼叫分机,送话受话无需转换。 2、主机多功能显示:主机可显示万年历,护理等级,呼叫床号、序号等。 3、三级护理设置:可根据病员病情任意设置高、中、低级护理床位,并在主机上有不同颜色的灯显示。 4、高级优先:高级病员的呼叫可以中断低级病员的通话。 5、话筒统播:可通过主话机作下通知、找人等统播。 6、宣教广播:可接入录音机等信号源作宣传教育广播。 7、主机免提:分机呼入时主机可免提与分机对讲。 8、无中断呼叫:无论在通话、广播等状态只要有呼叫均能呼入并给出显示。 9、呼叫存储:分机呼叫而主机无人接时主机将该分机号存储。 10、走廊显示屏:可显示呼叫分机号、存贮分机号和呼叫序号;无呼叫存贮时显示时间。 11、与计算机连网:由计算机将病员的呼叫时间、呼叫次数、处理时间、超时处理等进行统计,为考核服务质量提供技术上的保证。 12、故障自检报警:当系统出现故障时主机显示窗口及显示屏均能给出数字显示,并伴有声响报警提示: 1、主机最大分机容量:50门、60门 2、最长连线距离:800米 3、最大存储量:9个 4、电源:220V±10%、50Hz 5、工作方式:连续 6、功耗:<20W 7、总线电压:18V 8、工作条件:环境温度0~40℃相对湿度≤80% 医院呼叫系统使用方法: 1、分机呼叫主机:按一病房呼叫系统分机床头垂线呼叫按钮。分机上“叫通指示”灯点亮主机发出音乐振铃声,病员一览表相对应的卡片上有灯光闪烁,同时分机也伴有音乐振铃声。数码显示窗口上显示该分机号。在音乐振铃期间,护士摘起主话机即可与病员通话,通话结束,将主话机挂机,系统恢复待机状态。如果直到振铃结束,护士未摘主话机,主机将该分机号存贮,若想再与该分机通话,需按主机呼叫分机操作。 2、主机呼叫分机:摘起主话机按一下欲呼叫的床位对应的小按钮或是在主话机上键入两位分机号(1-9号应按01-09),被叫通的分机将发出“嘟”一声提示音表示已接通。如果所拨叫的分机不存在,听筒里将发出“嘀、嘀、嘀、嘀—”的声音作为提示,然后重新给出拨号

病房呼叫系统设计

《病房呼叫系统EDA》 设计报告 题目:病房呼叫系统设计 学院:电子信息与电气工程学院专业:电子信息工程 班级: 姓名: 学号:

目录 一、设计要求 (2) 二、病房呼叫系统设计背景及其研究意义 (2) 三、设计思路 (2) 四、基本原理 (3) 五、设计内容及步骤 (3) 六、对设计的体会与感想 (9) 七、参考文献 (10)

病房呼叫系统设计 一、设计要求 1、用1~6个开关模拟6个病房的呼叫输入信号,1号优先级最高;1~6优先级依次降低; 2、用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3、凡有呼叫发出5秒的呼叫声; 4、对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、病房呼叫系统设计背景及其研究意义 随着科学技术的发展,越来越多的科技设备应用到生活的方方面面,其中在医疗领域尤其多。病房呼叫系统这个设计就是应用于医院的一个简单的系统模型,其目的是为了方便医护人员更好地照顾病人,提高工作效率。病房呼叫系统的优先编码设置可以让相对更需要救助的病人第一时间得到救助,其蜂鸣器和数码管、等设置可以直观的提醒医护人员是否有病房呼叫及呼叫的病房号。 医院,在当代生活中已是必不可少,它在人们的生活中越来越扮演着重要角色,医院让我们的生活更加安心。医院的医学技术与科技设备齐全是人们生活健康的保障,而病房呼叫系统在医院病房更加必不可少,它关系的病人的安危,完善的病房呼叫系统让病人更加安心。 三、设计思路 本次课程设计的题目是病房呼叫系统,有六个病房,分别编号为1、2、3、4、5、6,其优先级依次降低。即当一号病房有信号输出的时候,即使其他病房有信号输出系统也不会响应。当二号病房有信号

病房呼叫系统

燕山大学 EDA课程设计报告书 题目:病房呼叫系统 一、设计题目及要求 1.用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低; 2.用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号,低级别呼叫号用LED指示灯显示; 3.凡有呼叫发出2秒的呼叫声,然后重复播放如下一段音乐,用一个数码管显示乐谱;1155 665- 4433 221- 5544 332- 5544 332- 附音符频率表: 音调频率(Hz) C(高音) 261.63x2 B 493.88 A 440.00 G 392.00 F 349.23 E 329.63 D 293.66 C 261.63 4.设置护士按钮,按下一次,清除当前高优先级呼叫(即表示处理),并终止演奏。 5.有多个呼叫时,先对低优先级的呼叫进行存储,处理完高级别后,数码管显示低级别呼叫号,然后再行处理,依次类推。

二、设计过程及内容(包括○1总体设计的文字描述,即由哪几个部分构成的,各个部分的功能及如何实现方法;○2主要模块比较详尽的文字描述,并配以必要的图片加以说明,但图片数量无需太多)整体电路由病人呼叫护士处理模块(nuesecontrol)、优先选择模块(priority)、扫描电路模块、分频模块,以及呼叫2秒模块和自动演奏(automisic)模块。总电路图如下: 1.病人护士处理模块较为简单,首先是一个由D触发器构成的防抖电路,用于消除按键时的抖动。然后再连一个D触发器,用于存储病人的信号;同时护士会有一个控制端,当病人信号处理后,护士通过nurse清零端给信号清零。 2.优先级模块是当有多个病房同时呼叫时,将会优先显示高级别信号。所以用了一个74LS148优先编码器,再经过组合逻辑电路,得到所要的反码可以接在7448数码管编译出来。因为1号病房优先级最高,而编码器是7N端优先级最高,并且是低电平有效。下图则是将1号端出来的高电平信号经过反相器接在6N端,则1号的信号会优先处理,然后经过逻辑电路和数码管,便可显示数字1。 3.扫描模块是因为实验箱虽然有8个数码管,但是只有a`~g7个接口,只能使一个数码管显示,而之后的自动播放音乐需要显示乐谱,还需要用到数码管,所以要做一个扫描电路。由于需要用到2个数码管,可以用74160连一个2进制计数器,然后控制两个74151数据选择器,再接到数码管上(图里是直接做了一个8进制计数器)。C0B0A0和C1B1A1分别接到得到的反码上。然后数码管可以显示病房呼叫号和乐谱。 4.分频模块有很多个,主要是对366Hz分频和对23.438kHz分频,分频模块主要用到了74160计数器,通过给置数端进行置数,得到所需要的进制数,例如对366Hz进行366分频,就可以用设计一个366进制的计数器,得到1Hz的频率,但是经过实际仿真的时候,发现设计成367进制时,频率更接近1Hz。下图给出了一个366分频,其它分频电路类似。 5.呼叫2秒也是一个简单模块,利用之前的74148优先编码器的EON 端,当有病房呼叫时,优先编码器开始工作,EON由0变为1,给了T 触发器一个上升沿信号,Q端变为高电平,控制蜂鸣器发声和由74160构成的二进制计数器工作,2秒后,计数器给出进位信号,使T触发器Q端清零,蜂鸣器停止鸣叫。 6.自动播放音乐模块比较复杂,主要是由3个小模块构成,32位循环顺序脉冲模块,控制乐谱显示模块和分频模块。 自动播放音乐模块如下图: 仿真图: 32位循环顺序脉冲发射器模块的作用是将自动播放的歌的乐谱,共32个音符,一一选择出来。先是由一个16Hz的时钟信号分别接入两个8进制计数器(已经封装成模块),上面的计数器的功能是得到

数电病房呼叫系统设计

病房呼叫系统设计 某医院有一、二、三、四号病室4间,每室设有呼叫按钮,同时在护士值班室内对应地装有一号、二号、三号、四号4个指示灯。 现要求当一号病室的按钮按下时,无论其它病室的按钮是否按下,只有一号灯亮。当一号病室的按钮没有按下而二号病室的按钮按下时,无论三、四号病室的按钮是否按下,只有二号灯亮。当一、二号病室的按钮都未按下而三号病室的按钮按下时,无论四号病室的按钮是否按下,只有三号灯亮。只有在一、二、三号病室的按钮均未按下而按下四号病室的按钮时,四号灯才亮。试用优先编码器74LS148和门电路设计满足上述控制要求的逻辑电路,给出控制四个指示灯状态的高、低电平信号。 一、实验目的: (1)、熟悉优先编码器的优先功能及应用。 (2)、掌握译码显示电路设计。 二、实验内容: 试用优先编码器74LS148芯片和必要的门电路设计一个病房呼叫系统。具体要求如下: (1)、共有一、二、三、四号病房,每个房间装有呼叫按钮。(2)、各病室的呼叫优先权不同,其中,一号病室的优先权最高,四号病室最低。 (3)、在护士值班室内有相应的显示电路,能看到当前呼叫病室的房间号。

三、实验原理: 优先编码74LS148的原理图如图1所示,其功能表如图2所示。 74LS00管脚图 74LS148管脚图

74LS148的功能表 四、实验器材: (1)、数字电路实验箱一台(2)、元器件 集成门电路:74LS00等若干 优先编码器74LS148芯片 1片 按键开关 4个 共阴极七段数码管 1位 蜂鸣器或扬声器 1个 LED指示灯 1个 电阻若干 五、求解:

解:输入变量:A1’,A2’,A3’,A4’;0:按下按钮,1:没有按下; 输出变量:Z1,Z2,Z3,Z4;1:灯亮,0:灯不亮; A1' A2' A3' A4'Y2 Y1 Y0 Y2' Y1' Y0'Z1 Z2 Z3 Z4 0 X X X 0 1 1 1 0 0 1 0 0 0 1 0 X X 0 1 0 1 0 1 0 1 0 0 1 1 0 X 0 0 1 1 1 0 0 0 1 0 1 1 1 0 0 0 0 1 1 1 0 0 0 1 由真值表写出: Z1=Y2'(Y1')'(Y0')'=Y2'Y1 Y0 Z2=Y2'(Y1')'Y0'=Y2'Y1 Y0' Z3=Y2'Y1'(Y0')'=Y2'Y1'Y0 Z4=Y2'Y1'Y0' 据此画出如图所示的电路连接图: 六、注意事项: 接线时要注意各芯片及数码管输入端的位权顺序。

医院病房呼叫器的设计绝对经典

医院病房呼叫器的设计 绝对经典 -CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

课程设计任务书 课程名称电子线路课程设计 课程设计题目医院病房呼叫器的设计 课程设计的内容及要求: 一、设计说明与技术指标 1.用1~4个开关模拟4个病房的呼叫输入信号,1号优先级最高;1~4优先级依次降低; 2.用数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 2. 阎石,数字电子技术(第五版).[M]北京:高等教育出版社,2005. 3. 陈孝彬《555集成电路实用电路集》高等教育出版社2002-8 4. 王刚《TTL集成电路应用》机械工业出版社 2000-10 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日 一、概述

本设计的主要目的是实现一个当医院病房发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。用于医院病房需要呼叫具有优先级别的呼叫系统。当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。使用该系统,不仅能够提高医生的工作效率,便于医生及时了解病人的实际状况,还能够让病人的需要及时得到满足。 二、方案论证 根据设计要求,将此设计分为几个模块来设计,分别为:指示灯显示模块,优先显示模块,报警模块。首先用四个开关来代替四个病房的呼叫按钮,四个二极管灯代表四个病房,当开关闭合后,对应的病房的灯发光,然后利用与非门74LS30的功能,当其输出为高电平时,就会使NE555芯片产生脉冲信号,然后使NE555芯片的out 输出端产生高电平,促使报警器报警,根据NE555芯片的外接电阻和电容的大小,可调整报警器的报警时间。当开关断开时,即74LS30输出为低电平,所以NE555芯片没有被触发,其out 输出端为低电平,报警器没有报警,也就是病房没有病人呼叫,一切正常。开关闭合后,将经过存储的信号送入优先编码器74HC148,根据优先编码器的优先选择功能选出优先级最高的呼叫信号,再通过译码器74LS48译码,最后通过数码管显示报警的病房,然后医生会及时的根据报警情况去查看病人。此方案的论证流程图如图2.1。 图 1 病房呼叫系统电路的原理框图 三、单元电路设计

病房呼叫系统的PLC设计-毕业设计

病房呼叫系统的PLC设计 目录 一、概述 (2) 1、可编程控制器简介 (2) 2、可编程控制器的发展 (2) 3、可编程序控制器的基本结构及工作原理 (2) 二、课题背景 (3) 1、课题背景 (3) 2、研究的目的和意义 (3) 三、已知情况、控制要求、设计任务 (4) 1、已知情况 (4) 2、控制要求 (4) 3、设计任务 (4) 四、总体设计思路 (5) 五、程序设计与调试 (5) 1、I/O分配 (5) 2、实现功能图 (8) 3、外部接线图 (8) 4、梯形图 (12) 5指令表 (14) 6、运行及调试 (18) 六、课程设计总结 (19) 七、致谢 (20)

八、参考文献 (20) 摘要 在现代医院的医疗程序管理当中,拥有先进及现代化的病床呼叫中心系统能够更好地去完善社会上各家医院的医疗服务体系,从而提升医院的名誉、地位。在以往的医疗进程管理体系里,当发生紧急事故或者需要呼叫护士的服务时,病人可能会因为继电器机械腐蚀的原因而无法有效及时地向候命的护士发出求助信号,从而导致一些不可挽回的医疗事故。在本次机电综合课程设计中,我对医疗建设中的病床呼叫系统进行了基于可编程控制器的改良系统设计。众所周知,可编程序控制器,也就是PLC,具有响应速度快,控制简单,易于编程等优点。利用这些优点,将PLC控制系统融入病床呼叫控制系统的设计,就能够大大提升护士处理病人需求、处理紧急病情的效率,同时减少医疗事故发生的几率。由于每一张病床的控制流程都是相同的,所以在进行系统程序设计的时候,只需要设计出一个响应模式,其他的病床只要套用这个模式去编写程序了。 关键词:医疗病床呼叫可编程控制器

05病房呼叫系统方案

目录 病房呼叫系统 (1) 1系统概述 (1) 2需求分析 (2) 3设计依据与设计原则 (2) 4系统设计说明 (3) 4.1 系统的基本组成 (3) 4.2 系统的基本功能 (3) 4.3 系统点位设置 (4) 5系统主要设备介绍 (5) 5.1 三十路对讲主机(型号:NBW-30) (5) 5.2 三十路病员一览表(型号:NBW-30P) (5) 5.3 嵌入式分机(型号:NBW-A) (6) 5.4 防水报警开关(型号:NBW-AN) (6) 5.5 输液报警器(型号:NBW-G) (6) 5.6 外配电源(型号:NBW-B-05) (7) 5.7 小门灯(型号:NBW-M) (7) 5.8 无线发射机(型号:NBW-T) (7) 5.9 无线接收机(型号:NBW-R) (8)

病房呼叫系统 1系统概述 对讲-医院护理对讲系统(双工、两芯总线制)主要用在:医院、疗养院、敬老院等需要护理对讲的场所。 社会不断的进步。物质生活和精神生活越来越丰富多彩。健康快乐的生活是每一个人的愿望。但疾病一直以来伴随着人类。每个人或多或少的会生病。就要看病、打针、吃药、住院。医院也不断地完善着医护人员医技、服务质量和医疗设备。以服务于大众。提高人们的生活质量。真正做到有病医得起、看得好。创造出一个和谐的社会。 在医疗设备方面。医护对讲系统是医院不可或缺的通信设备。医院护理对讲系统采用两芯总线制系统架构。方便施工。根据不同的机型每条总线可并接30或60台分机。分机之间是平级关系。在总线上发送数字脉冲地址码。以便于被主机识别。主机提供485通信接口。可以连接射频发射机、显示屏、监控统计集线器。医护人员在巡视过程中。可以利用携带的射频接收机接受射频发射机传来的数据。以确定病床呼叫。走廊显示屏提供警示作用。能够显示呼叫分机号码便于医护人员查看。系统每日的通信信息可以通过监控统计集线器接入计算机。进行数据处理。可以表格形式打印。以便管理人员查询。输液报警器是医护对讲系统中的新产品。配合分机使用。输液管夹装在其中。当输液完毕时有“Bi、Bi”报警提示声,输液报警器同时自动阻断输液管。并通过分机向护士站的主机发送输液完毕报警信号。单独使用时,需另配电源。为了医院管理的方便。系统主机提供并接功能。最多可实现四级管理。 医院护理对讲系统电路设计合理、工作稳定、维护率低。是医院理想的通信设备。系统包括有多种容量的对讲主机,如三十路对讲主机、三十路病员一览表、六十路对讲主机、六十路病员一览表,超薄分机、嵌入式分机、防水开关、输液报警器、外配电源、小门灯、点阵双面走廊显示屏、无线发射机、无线接收机、监控统计集线盒、监控统计软件等构成。

EDA课程设计--病房呼叫系统地设计

病房呼叫系统的设计 1 设计要求 1.用5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1到5优先级依次降低; 2.用一个数码管显示呼叫信号的;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。 2 设计思路及方案 用74148优先编码器来实现病房呼叫的优先显示,用7447七段字形译码器接半导体数码管来用数字显示最高优先级的病房号,用计数器74193来实现模五的计数器,调节clock输入脉冲的频率来实现五秒的控制来实现闪烁五秒。再用D触发器来实现锁存和复位清零功能。 3 设计过程 3.1 优先编码和数据清零模块 优先编码和数据清零模块的电路图如图1所示。

图1 优先编码和数据清零模块 该病房呼叫系统一共有6个输入,分别是1~5号病房的呼叫开关 num1~num5和1个复位清零健action(初始工作时至“1”),这六个开关配合D触发器来实现清零复位。由于74148低电平有效,所以输入74148前先用非门取反,此电路用到的74148管脚输入对应输出真值表如表1所示。 表1 74148输入输出真值表 输入输出X X X X 0 0 0 1 X X X 0 1 0 1 0 X X 0 1 1 0 1 1 X 0 1 1 1 1 0 0 0 1 1 1 1 1 0 1

模五计数器电路图如图2所示。 图2 模五计数器电路图 如图先将74193接成模五计数器,当有病房呼叫时CLR为0,计数器计数,当没有病房呼叫时计数器清零,通过调节clock输入脉冲的频率来实现闪烁五秒的控制。 3.3 显示病房号功能 显示病房号功能的电路图如图3所示。 图3 显示病房号的电路图 说明:该模块的输入为74148的输入,通过7447七段字形译码器进译码,将结果输出到半导体数码管进行显示。

病房呼叫系统

电气工程学院 数字电子电路课程设计报告书 姓名:XX 班级:XXXXXXXXXXXXX 学号:XXXXXXXX 完成日期:XXXXXXXXXXXX

目录 设计要求--------------------------------------------------------------------------3 一、整体设计构想--------------------------------------------------------------3 二、仿真软件简要介绍--------------------------------------------------------3 三、系统分模块设计过程-----------------------------------------------------3 1、开关控制指示灯模块---------------------------------------------------------------3 2、编码器与译码器转换模块----------------------------------------------------------4 3、数码管显示模块-------------------------------------------------------------------7 4、蜂鸣器呼叫模块-------------------------------------------------------------------7 四、系统完成电路图-----------------------------------------------------------8 五、课程设计总结--------------------------------------------------------------9

医院病房呼叫器的使用注意事项

病房呼叫系统使用方法: 1、分机呼叫主机:按一病房呼叫系统分机床头垂线呼叫按钮。分机上“叫通指示”灯点亮主机发出音乐振铃声,病员一览表相对应的卡片上有灯光闪烁,同时分机也伴有音乐振铃声。数码显示窗口上显示该分机号。在音乐振铃期间,护士摘起主话机即可与病员通话,通话结束,将主话机挂机,系统恢复待机状态。如果直到振铃结束,护士未摘主话机,主机将该分机号存贮,若想再与该分机通话,需按主机呼叫分机操作。 2、主机呼叫分机:摘起主话机按一下欲呼叫的床位对应的小按钮或是在主话机上键入两位分机号,被叫通的分机将发出“嘟”一声提示音表示已接通。如果所拨叫的分机不存在,听筒里将发出“嘀、嘀、嘀、嘀—”的声音作为提示,然后重新给出拨号音,您可以重新拨叫。 3、呼叫存贮与清除:分机呼叫主机而主机无人处理时,主机将该分机号存贮,最多可存贮9个。存贮的分机上“叫通指示”灯点亮。一览表上对应指示灯闪亮,数码显示窗口及走廊显示屏轮翻显示所存贮的内容。第一位数是存贮顺序,数字越大,存贮的越早;后两位为分机号。欲清除存贮的内容方法有以下几个:第一、在待机状态按一下一览表上对应按钮;第二、按一下分机上的清除按钮;第三、主机可以拨叫分机并与其通话。 4、护理级别设定:在待机状态按一下特护设定键,对应指示灯点亮表示已进入设定状态,再按一下特护设定按键,对应指示灯熄灭,系统进入待机状态,并将您设定的床位永久地保存(停电不丢失)。直到您下次重新设定。如果键入一次后1分钟内无新的键入主机将现有设定保存,并恢复到待机状态。 5、话筒统播:摘起主话机按一下“话筒统播”按键(或是在主话机上键入“77”键),对应指示灯点亮,数码窗口显示“77”即可。主要用于下通知,找人等使用。挂机即恢复待机状态。

病房呼叫系统课程设计实验报告

科技大学 数字电子技术课程设计 题目: 病房呼叫系统 姓名: 汤智 专业: 电子科学与技术 班级: 112 学号: 1886110220 指导教师: 2013年06月15日 科技大学理学院

病房呼叫系统 一课程设计题目与实习目的 课程设计题目:病房呼叫系统 实习目的: 1.掌握数字电路课程所学的理论知识以及数字电子技术在生活中的应用。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.进一步深化对电子技术的了解,强化实际动手操作能力以及发现问题解决问题的方法。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数电课程实验是大学中为我们提供的一次动手实践的机会,增强实际动手操作与研发的能力。 二任务和要求 要求: 1.用1~5个开关模拟5个病房的呼叫输入信号,5号优先级最高;1~5优先级依次升高; 2.用一个数码管显示呼叫信号的;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。 三总体方案的选择 病房呼叫系统整体思路为: ●LED指示灯显示病房模块 ●数码管优先显示重病房模块 ●蜂鸣器报警5秒模块 首先由病人按下呼叫器开关,即逻辑数据开关,(1)进入第一模块,通 过LED指示灯显示病人呼叫的病房,(2)进入第二模块,传输到一个编 码器进行编码,我这里选用的是74LS148,8线—3线优先编码器,其低 电平为有效输入;然后再进行译码输出,我选用的是74LS48,为共阴极 7段译码/驱动器,74LS148输出为反码输出,遂在74LS48前加上反向器

病房呼叫系统施工方案

病房呼叫系统施工方案 Company number:【0089WT-8898YT-W8CCB-BUUT-202108】

1.3. 2.6 病房呼叫系统施工方案 病房呼叫系统主要用于医院护理区、以沟通住院病人与医护人员的联络,提高医院的护理水平,减轻护士劳动强度,使病人能得到良好与及时的医疗和护理服务,为医院上等级而开发的配套产品。 本系统由对讲主机、分机和走廊大显示屏组成。本系统每台主机和各分机之间使用两根总线并联,不分极性,施工既简单又节省材料。用户可自由选择用明装分机或暗装分机。每张病床设分机一个,连接手持式呼叫按钮,放音逼真、清晰。主机设置于护士站内,每个护士站设一台,在走廊内设一块四位、双面走道显示屏,平时显示时间,有病床呼叫护士时,走廊显示屏显示呼叫病床床号。 本系统二层母婴同室护理单元、四至十一层标准护理单元每个护士站配置一台90路对讲型主机,在走廊中间位置设一块双面显示屏;病区每张病床安装一只对讲分机,在每间病房的卫生间内安装一只防水开关,每间病房门口设一只门灯,每张病床安装的对讲分机为超薄型分机,安装在病房设备带上;卫生间防水开关安装高度为高地1.1m;门灯安装在走廊倒各病房门套上。 三层血透中心每张病床安装一只对讲分机,护办配置一台30路对讲型主机,在走廊基本中间位置设一块双面显示屏。 一层大输液厅每张座椅安装一只对讲分机,护士站配置一台30路和90路主机。 二层儿童输液厅每张座椅安装一只对讲分机,治疗室配置一台60路主机。 护理单元及血透中心每张病订安装的对讲分机为超薄型分机,安装在病房设备带上;系统采用总线式,对讲主机对各对讲分机、防水开关之间采用手拉手方式连接。输液大厅和儿童输液厅呼叫器由吊平顶内沿输液杆引下安装,卧室输液厅呼叫器安装在设备带上(若无设备带,则离地1.1米墙面安装)。

病房呼叫系统-课程设计

I 数字电路课程设计题目:病房呼叫系统设计 院系:工学院电气与电子工程系 专业:电气工程及其自动化 班级: 姓名: 学号: 指导教师: 烟台南山学院教务处 二〇一七年六月

病房呼叫系统设计 摘要 随着现在医疗机构的发展,病房呼叫系统成为每个医院必须的设备。本次设计完成的是病房呼叫系统。该设备含有优先级,监控设备放置在医生值班时内,当病床有请求呼叫时指示灯进行提醒,并显示几号床位。而当多个请求同时呼叫时,优先级高的病床会显示,并且蜂鸣器连接的计算机扬声器会发声本设计主要四个模块:呼叫模块、优先选择模块、译码显示模块。其中运用了74HC148来实现优先选择模块的主要功能 关键词病房呼叫系统优先级模块

Ward call system design Abstract With the development of medical institutions, the ward call system becomes a necessary device for every hospital.This design completes the ward call system. The device has a priority, the monitoring device is placed in the doctor's watch, and when the bed has a request call, the light is alerted and a number of beds are displayed. And when multiple requests are called at the same time, a high-priority bed will be displayed, and a computer speaker connected to the buzzer will speak. The main four modules of this design: call module, priority selection module, decoder display module. It USES 74HC148 to implement the main function of the preference module. Keywords Ward call systempriority modules

病房呼叫系统说明书

《单片机原理及外围电路》课程论文《基于51单片机的简易病房呼叫系统》 姓名:* * * 学号:502121210*

班级:通信工程16-1

摘要:本系统是基于51单片机的简易病床呼叫系统。该系统以80C51单片机为核心,辅以矩阵键盘、LED点阵显示电路和部分简单模拟和数字电路组成的能够实现病人和医护人员之间信息的传递。在该设计中每个病房都有一个按键,当患者有需要时,按下按键,此时值班室的显示屏可显示此患者的床位号,医护人员按下“响应”键取消当前呼叫。此系统能够为医院提供一个成本低、效率高、操作方便和易于安装维护的快捷系统. 关键词:80C51单片机矩阵键盘LED显示呼叫系统 Abstract This system is the patient's request to the doctor on duty or nurse emergency call tool s for diagnosis, care, and it is mainly used to assist the hospital patient in the bed easily call th e medical staff, the patient's request can be quickly sent to the duty doctor or nurse is to impro ve one of the essential equipment of hospital and ward level of care.Increasingly fierce compe tition in the hospital, commercial hospital survival is the first to enhance the grade and quality of service is imminent,accompany the issue has been the main body of the patient conflict, bu t also long-troubled health system quality of service issues, using the ward call system more c onvenient for patients to find a doctor to save the valuable time of the patient. The system is a ward call system based on the 51 series micro-chip design. The sy-stem uses 80C51 micro-controller as the core, supplemented by matrix keyboard, LED dot matrix displa y of a simple circuit and some analog and digital circuits to achieve the transfer of informatio n between patients and health care. In this design,each ward has a button, when patients in need, press the button, the display of t he duty room to display the number of beds in this patient, people use to achieve circular displ ay, press the medical staff "response" to cancel the current call. This system can provide a low cost, high efficiency, easy operation and easy installation and maintenance system for the hos pital. Keywords:80C51 Single-chip word call system matrix keyboard LED display

相关主题
文本预览
相关文档 最新文档