当前位置:文档之家› LCD1602显示字符

LCD1602显示字符

LCD1602显示字符
LCD1602显示字符

成绩评定表

学生姓名班级学号

课程设计题目

专业计算机科学

与技术

组长签字:

成绩

日期2013 年1月日

课程设计任务书

学院信息学院专业计算机科学与技术

学生姓名付磊班级学号 1003050424

课程设计题目字符液晶显示装置设计

实践教学要求与任务:

要求:利用51单片机+1602字符lcd设计字符液晶显示系统,。需要有按钮控制显示的开始和停止。完成以下设计环节:

(1)使用Uvision2开发平台,采用C语言或汇编语言设计软件程序。

(2)使用PROTEUS仿真软件,设计仿真原理图并运行软件程序,完成系统仿真。工作计划与进度安排:

第18周:布置课程设计任务,查阅资料,分组设计,实验室组装与调试。

第19周:调试,验收,答辩,编写课程设计报告。

指导教师:

2012年月日专业负责人:

2012年月日

学院教学副院长:

2012年月日

目录

1 仿真电路图设计 (1)

2 工作原理 (2)

2.1 液晶显示原理 (2)

2.2 1602LCD工作原理 (3)

3 程序及流程图 (5)

4 运行与结果 (9)

5 心得体会 (11)

6 参考文献 (11)

1 仿真电路图设计

LCD1602:

第 1 脚:VSS 为地电源。

第 2 脚:VDD接5V正电源。

第 3 脚:VEE为液晶显示器对比度调整端,接正电源时对比度最弱,接地时对比度最高,对

比度过高时会产生“鬼影”,使用时可以通过一个10K 的电位器调整对比度。

第 4 脚:RS 为寄存器选择,高电平时选择数据寄存器、低电平时选择指令寄存器。接8051 P3.5 第 5 脚:R/W 为读写信号线,高电平时进行读操作,低电平时进行写操作。当RS和R/W

共同为低电平时可以写入指令或者显示地址,当RS 为低电平R/W 为高电平时可以读忙信

号,当RS 为高电平R/W为低电平时可以写入数据。接8051 P3.6。

第 6 脚:E端为使能端,当E 端由高电平跳变成低电平时,液晶模块执行命令。接8051 P3.7。第7~14脚:D0~D7为8 位双向数据线。接8051 P1口。

8051:

P3.2 P3.3 接按钮。

2 工作原理

2.1 液晶显示原理

液晶显示的原理是利用液晶的物理特性,即当通电时导通,排列变的有秩序,使光线容易通过;不通电时排列混乱,阻止光线通过。让液晶如闸门般地阻隔或让光线穿透。从技术上简单地说,液晶面板包含了两片相当精致的无钠玻璃素材,称为Substrates,中间夹著一层液晶。当光束通过这层液晶时,液晶本身会排排站立或扭转呈不规则状,因而阻隔或使光束顺利通过。大多数液晶都属于有机复合物,由长棒状的分子构成。在自然状态下,这些棒状分子的长轴大致平行。将液晶倒入一个经精良加工的开槽平面,液晶分子会顺着槽排列,所以假如那些槽非常平行,则各分子也是完全平行的。通过电压对其显示区域进行控制,有电就有显示,这样即可以显示出图形。液晶显示器具有厚度薄、适用于大规模集成电路直接驱动、易于实现全彩色显示的特点,目前已经被广泛应用在便携式电脑、数字摄像机、PDA 移动通信工具等众多领域。

液晶显示的分类方法有很多种,通常可按其显示方式分为段式LCD、字符式LCD、点阵式LCD等。除了黑白显示外,液晶显示器还有多灰度有彩色显示等。如果根据驱动方式来分,可以分为静态驱动(Static)、单纯矩阵驱动(Simple Matrix)和主动矩阵驱动(Active Matrix)三种。

点阵图形式液晶由M×N个显示单元组成,假设LCD显示屏有64行,每行有128列,每8列对应1字节的8位,即每行由16字节,共16×8=128个点组成,屏上64×16个显示单元与显示RAM区1024字节相对应,每一字节的内容和显示屏上相应位置的亮暗对应。例如屏的第一行的亮暗由RAM区的000H——00FH的16字节的内容决定,当(000H)=FFH时,则屏幕的左上角显示一条短亮线,长度为8个点;当(3FFH)=FFH时,则屏幕的右下角显示一条短亮线;当(000H)=FFH,(001H)=00H,(002H)=00H,……(00EH)=00H,(00FH)=00H时,则在屏幕的顶部显示一条由8段亮线和8条暗线组成的虚线。这就是LCD显示的基本原理。字符的显示用LCD显示一个字符时比较复杂,因为一个字符由6×8或8×8点阵组成,既要找到和显示屏幕上某几个位置对应的显示RAM区的8字节,还要使每字节的不同位为“1”,其它的为“0”,为“1”的点亮,为“0”的不亮。这样一来就组成某个字符。但由于内带字符发生器的控制器来说,显示字符就比较简单了,可以让控制器工作在文本方式,根据在LCD上开始显示的行列号及每行的列数找出显示RAM对应的地址,设立光标,在此送上该字符对应的代码即可。

点阵最好是用二位数组,基本思路也就是单片机两个IO口控制点阵的行和列,定义一个二位数组控制点阵每一行要亮拿几个灯,然后下一行亮那几个灯,以此类推。

0-9和A-Z二维代码:

{{0x1C,0x22,0x22,0x22,0x22,0x22,0x22,0x1C},//0

{0x08,0x18,0x08,0x08,0x08,0x08,0x08,0x1C},//1

{0x1C,0x22,0x02,0x02,0x1C,0x20,0x20,0x3E},//2

{0x1C,0x22,0x02,0x1C,0x02,0x02,0x22,0x1C},//3

{0x08,0x18,0x28,0x48,0x7C,0x08,0x08,0x08},//4

{0x3E,0x20,0x20,0x3E,0x02,0x02,0x22,0x1C},//5

{0x1C,0x22,0x20,0x3C,0x22,0x22,0x22,0x1C},//6

{0x3E,0x02,0x04,0x08,0x10,0x10,0x10,0x10},//7

{0x1C,0x22,0x22,0x1C,0x22,0x22,0x22,0x1C},//8

{0x1C,0x22,0x22,0x22,0x1E,0x02,0x22,0x1C},//9

{0x00,0x1C,0x22,0x22,0x22,0x3E,0x22,0x22},//A

{0x00,0x3C,0x22,0x22,0x3E,0x22,0x22,0x3C},//B

{0x00,0x1C,0x22,0x20,0x20,0x20,0x22,0x1C},//C

{0x00,0x3C,0x22,0x22,0x22,0x22,0x22,0x3C},//D

{0x00,0x3E,0x20,0x20,0x3E,0x20,0x20,0x3E},//E

{0x00,0x3E,0x20,0x20,0x3E,0x20,0x20,0x20},//F

{0x00,0x1C,0x22,0x20,0x3E,0x22,0x22,0x1C},//G

{0x00,0x22,0x22,0x22,0x3E,0x22,0x22,0x22},//H

{0x00,0x1C,0x08,0x08,0x08,0x08,0x08,0x1C},//I

{0x00,0x3E,0x08,0x08,0x08,0x08,0x28,0x18},//J

{0x00,0x20,0x2C,0x30,0x20,0x30,0x2C,0x20},//K

{0x00,0x20,0x20,0x20,0x20,0x20,0x20,0x3E},//L

{0x00,0x42,0x66,0x5A,0x42,0x42,0x42,0x42},//M

{0x00,0x00,0x2C,0x32,0x22,0x22,0x22,0x22},//N

{0x00,0x1C,0x22,0x22,0x22,0x22,0x22,0x1C},//O

{0x00,0x3C,0x22,0x22,0x3C,0x20,0x20,0x20},//P

{0x00,0x1C,0x22,0x22,0x22,0x2A,0x26,0x1F},//Q

{0x00,0x38,0x24,0x24,0x38,0x30,0x28,0x24},//R

{0x00,0x1C,0x22,0x20,0x1C,0x02,0x22,0x1C},//S

{0x00,0x3E,0x08,0x08,0x08,0x08,0x08,0x08},//T

{0x00,0x42,0x42,0x42,0x42,0x42,0x42,0x3C},//U

{0x00,0x22,0x22,0x22,0x14,0x14,0x08,0x00},//V

{0x00,0x41,0x41,0x49,0x55,0x55,0x63,0x41},//W

{0x00,0x00,0x42,0x24,0x18,0x18,0x24,0x42},//X

{0x00,0x22,0x22,0x14,0x08,0x10,0x20,0x00},//Y

{0x00,0x3E,0x02,0x04,0x08,0x10,0x20,0x3E},//Z

2.2 1602LCD工作原理

1602LCD 分为带背光和不带背光两种,基控制器大部分为HD44780,带背光的比不带背光

的厚,是否带背光在应用中并无差别

1602LCD 主要技术参数:

显示容量:16×2 个字符

芯片工作电压:4.5—5.5V

工作电流:2.0mA(5.0V)

模块最佳工作电压:5.0V

字符尺寸:2.95×4.35(W×H)mm

引脚功能说明

1602LCD 采用标准的14脚(无背光)或16脚(带背光)接口,各引脚接口说明如表10-13

所示:

编号符号引脚说明编号符号引脚说明

1 VSS 电源地9 D

2 数据

2 VDD 电源正极10 D

3 数据

3 VL 液晶显示偏压11 D

4 数据

4 RS 数据/命令选择12 D

5 数据

5 R/W 读/写选择13 D

6 数据

6 E 使能信号14 D

7 数据

7 D0 数据15 BLA背光源正极

8 D1 数据16 BLK 背光源负极

LCD寄存器的选择

E R/W RS 功能说明

1 0 0 写入命令寄存器

1 0 1 写入数据寄存器

1 1 0 读取忙碌标志及RAM地址

1 1 1 读取RAM数据

0 X 不动作

LCD指令表

指令功能

控制线数据线

RS R/W D7 D6 D5 D4 D3 D2 D1 D0

清除屏幕0 0 0 0 0 0 0 0 0 1

清除屏幕,并把光标移至左上角

光标回到原

点0 0 0 0 0 0 0 0 1 x

光标移至左上角,显示内容不变

设定进入模

0 0 0 0 0 0 0 1 I/D S I/D=1:地址递增,I/D=0:地址递减 S=1:开启显示屏,S=0:关闭显

示屏

显示器开关

0 0 0 0 0 0 1 D C B D=1:开启显示幕 C=1:开启光标 B=1:光标所在位置的字符闪烁

移位方式

0 0 0 0 0 1 S/C R/L x x S/C=0、R/L=0:光标左移;S/C=0、R/L=1:光标右移 S/C=1、R/L=0:字符和光标左移;S/C=1、R/L=1:字符和光标右移

功能设定

0 0 0 0 1 DL N F x x

DL=1:数据长度为8位,DL=0:数据长度为4位 N=1:双列字,N=0:单列字;F=1:5x10字形,F=0:5x7字形

CG RAM地址

设定0 0 0 1 CG RAM地址

将所要操作的CG RAM地址放入地址计数器

DD RAM地址

设定0 0 1 DD RAM地址

将所要操作的DD RAM地址放入地址计数器

忙碌标志位0 1 BF 地址计数器内容

BF 读取地址计数器,并查询LCM是否忙碌,BF表示LCM忙碌

写入数据1 0 写入数据

将数据写入CG RAM或DD RAM

读取数据1 1 读取数据

读取CG RAM或DD RAM的数据

例如第二行第一个字符的地址是40H,那么是否直接写入40H 就可以将光标定位在第二行

第一个字符的位置呢?这样不行,因为写入显示地址时要求最高位D7恒定为高电平1 所以

实际写入的数据应该是01000000B(40H)+10000000B(80H)=11000000B(C0H)。

在对液晶模块的初始化中要先设置其显示模式,在液晶模块显示字符时光标是自动右移的,

无需人工干预。每次输入指令前都要判断液晶模块是否处于忙的状态。

1602 液晶模块内部的字符发生存储器(CGROM)已经存储了160 个不同的点阵字符图形,

如图10-58 所示,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是01000001B (41H),显示时模块把地址41H中的点阵字符图形显示出来,我们就能看到字母“A”

3 程序及流程图

图 2.1 1602LCD 内部显示地址

程序

#include #include

sbit rs= P3^5; //寄存器类型,1表示数据寄存器,2表示指令寄存器 sbit rw = P3^6; //读写选择,1表示读,0表示写 sbit ep = P3^7; //读写使能,下降沿使能 void delay(unsigned char ms) //延时 {

unsigned char i; while(ms--) {

for(i = 0; i<100; i++){} }

}

bit lcd_bz() //判断忙碌 {

bit result; rs = 0; rw = 1; ep = 1; _nop_(); _nop_(); _nop_();

_nop_();

开始

结束

rs=0;rw=1;ep=1;P1=dat;

延时4us result = (bit)(P1 & 0x80);

ep=0;

返回result

图 3.2 判断是否忙碌流程

开始

EA=1; IT0=1; EX0=1; IT1=1; EX1=1; while (1);

等待外部中断0 等待外部中断1

图 3.1主函数流程

result = (bit)(P1 & 0x80); ep = 0;

return result;

}

void lcd_wcmd(unsigned char cmd) //写指令{

while(lcd_bz());

rs = 0;

rw = 0;

ep = 0;

_nop_();

_nop_();

P1 = cmd;

_nop_();

_nop_();

_nop_();

_nop_();

ep = 1;

_nop_();

_nop_();

_nop_();

_nop_();

ep = 0;

}

void lcd_pos(unsigned char pos) //指示初始位置{

lcd_wcmd(pos|0x80);

}

void lcd_wdat(unsigned char dat) //写数据

{

while(lcd_bz());//

rs = 1;

rw = 0;

ep = 0;

P1 = dat;

_nop_();

_nop_();

_nop_();

_nop_();

ep = 1;

_nop_();

开始

结束

判断LCD是否忙碌?

rs=0;

rw=0;

ep=0;

P1=dat;

延时4us

ep=1;

延时4us

ep=0;

否是

图 3.3 写命令流程

_nop_(); _nop_();

_nop_();

ep = 0;

}

void lcd_wstr(const unsigned char *s) // 写串{

unsigned char i = 0;

while(s[i] != '\0')

{

lcd_wdat(s[i]);

delay(100);

i++;

}

}

void lcd_init() //初始化

{

lcd_wcmd(0x38); //功能设定

delay(1);

lcd_wcmd(0x0c); //显示开关

delay(1);

lcd_wcmd(0x06); //设定进入模式

delay(1);

lcd_wcmd(0x01); //清屏

delay(1);

}

void main(void)

{

EA=1; //中断允许

EX0=1;

EX1=1;

IT0=1; //下降沿触发模式

IT1=1;

while(1);

}

void abc(void) interrupt 0 //外部中断INT0

{

lcd_init();

delay(10);

lcd_pos(0x01);

lcd_wstr(" welcometo");

开始

结束

判断LCD是否忙碌?

rs=1;

rw=0;

ep=0;

P1=dat;

延时4us

ep=1;

延时4us

ep=0;

否是

图 3.4 写数据流程

lcd_pos(0x41);

lcd_wstr(" shenyang!");

delay(3);

}

void abcd(void) interrupt 2 //外部中断INT1

{

lcd_init();

delay(10);

lcd_wcmd(0x01);

}

4 运行与结果

使用PROTEUS仿真软件,运行经Uvision2编译过的C语言程序。结果如图。

图4.1开始运行

图4.2点击显示按钮

图4.3点击清除按钮

5 心得体会

通过这次课程设计,不仅让我了解了单片机的硬件结构和怎么去设计程序,更重要的还让我学会了、或者说是验证了“做事一定要有次序和对事物的总体把握”这句话。刚开始对实验一头雾水,第一次实验课先连接线表,慢慢懂得一些;第二节课经老师指点又明白了些;经过第三节课的学习并与同学的讨论让我对此实验更熟悉了。“活到老,学到老”,这也是我整个学习过程中的一次经验、一次总结,我相信它肯定会给我今后的学习有所启示和指导作用。

6 参考文献

[1]谢维成杨加国主编《单片机原理与应用及C51程序设计》,清华大学出版社,2009

[2]马秀丽,刘念著《EL--JY--II型计算机组成原理实验系统实验指导书》,沈阳理工大学信息学院应用教研室,2007

LCD1602汇编显示程序

;1602显示ABC LCD_RS EQU P2.5 LCD_RW EQU P2.6 LCD_EN EQU P2.7 LCD_DATA EQU P3 ;----------------- ORG0000H JMP START ORG0030H ;----------------- LCD: CALL LCD_INIT MOV A, #80H CALL LCD_WCMD MOV A, #'A' CALL LCD_WDATA MOV A, #'B' CALL LCD_WDATA MOV A, #'C' CALL LCD_WDATA AJMP$ ;---------------- DELAY5MS: MOV R6, #10 DL1:DJNZ R7, $ DJNZ R6, DL1 RET ;---------------- LCD_INIT: CALL DELAY5MS MOV A, #38H CALL LCD_WCMD CALL DELAY5MS

CALL DELAY5MS MOV A, #06H CALL LCD_WCMD MOV A, #01H CALL LCD_WCMD MOV A, #0CH CALL LCD_WCMD RET ;===================================== LCD_WCMD: CALL CHECKBUSY CLR LCD_RS JMP W_LCD ;---------------- LCD_WDATA: CALL CHECKBUSY SETB LCD_RS W_LCD: CLR LCD_RW MOV LCD_DATA, A SETB LCD_EN NOP CLR LCD_EN RET ;---------------- CHECKBUSY: PUSH ACC MOV LCD_DATA, #255 CLR LCD_RS SETB LCD_RW BUSYLOOP: SETB LCD_EN NOP MOV A, LCD_DATA CLR LCD_EN JB ACC.7, BUSYLOOP POP ACC RET

LCD1602自定义显示字符

LCD1602自定义显示字符 从CGROM表中可以看到,在表的最左边是一列可以允许用户自定义的CGRAM,从上往下看着是16个, 实际只有8个字节可用。它的字符码是00000000-00000111这8个地址,表的下面还有8个字节,但因为这个CGRAM的字符码规定0-2位为地址,3位无效,4-7全为零。因此CGRAM的字符码只有最后三位能用也就是8个字节了。等效为0000x111,x为无效位,最后三位为000-111共8个。 如果我们要想显示这8个用户自定义的字符,操作方法和显示CGROM一样,先设置DDRAM位置,再向DDRAM写入字符码,例如“A”就是41H。现在我们要显示CGRAM的第一个自定义字符,就向DDRAM写入00000000B(00H),如果要显示第8个就写入00000111(08H)。 从这个指令可以看出指令数据的高2位已固定是01,只有后面的6位是地址数据,而这6位中的高3位就表示这八个自定义字符,最后的3位就是字模数据的八个地址了。例如第一个自定义字符的字模地址为01000000-01000111八个地址。 我们向这8个字节写入字模数据,让它能显示出“℃” 地址:01000000数据:00010000图示:○○○■○○○○ 0100000100000110○○○○○■■○ 0100001000001001○○○○■○○■ 0100001100001000○○○○■○○○ 0100010000001000○○○○■○○○ 0100010100001001○○○○■○○■ 0100011000000110○○○○○■■○ 0100011100000000○○○○○○○○ 字定义字符地址 0x40————0x00 0x48————0x01 0x50————0x02 0x58————0x03 0x60————0x04 0x68————0x05 0x70————0x06 0x78————0x07 程序操作: 1.定义字符数组 uchar code Word1[]={0x15,0x0A,0x15,0x0A,0x15,0x0A,0x15,0x0A};//自定义字符数组 2.写数据到CGRAM中 uchar j; WriteCommandLCD(0x40); for(j=0;j<8;j++) { WriteDataLCD(Word1[j]); } 3.读取数据并显示 WriteCommandLCD(0xC8);//显示在第一行 WriteDataLCD(0x00);//地址

LCD1602 自定义字符

LCD1602 自定义字符 2011-09-06 20:40:55| 分类:1602|举报|字号订阅 用户自定义字符的应用: 我们从CGROM表上可以看到,在表的最左边是一列可以允许用户自定义的CGRAM,从上往下看着是16个,实际只有8个字节可用。它的字符码是00000000-00000111这8个地址,表的下面还有8个字节,但因为这个CGRAM 的字符码规定0-2位为地址,3位无效,4-7全为零。因此CGRAM的字符码只有最后三位能用也就是8个字节了。等效为0000X111,X为无效位,最后三位为000-111共8个。 如果我们要想显示这8个用户自定义的字符,操作方法和显示CGROM的一样,先设置DDRAM位置,再向DDRAM写入字符码,例如“A”就是41H。现在我们要显示CGRAM的第一个自定义字符,就向DDRAM写入00000000B(00H),如果要显示第8个就写入00000111(08H),简单吧! 好!现在我们来看怎么向这八个自定义字符写入字模。有个设置CGRAM地址的指令如下图: 从这个指令可以看出指令数据的高2位已固定是01,只有后面的6位是地址数据,

而这6位中的高3位就表示这八个自定义字符,最后的3位就是字模数据的八个地址了。例如第一个自定义字符的字模地址为01000000-01000111八个地址。我们向这8个字节写入字模数据,让它能显示出“℃” 地址:01000000数据:00010000 图示: ○○○■○○○○0100000100000110 ○○○○○■■○ 0100001000001001 ○○○○■○○■ 0100001100001000 ○○○○■○○○ 0100010000001000 ○○○○■○○○ 0100010100001001 ○○○○■○○■ 0100011000000110 ○○○○○■■○ 0100011100000000 ○○○○○○○○ 图示: 下面我们写一段程序让这8个自定义字符显示出一个心的图案: # include unsigned char table1[]={0x03,0x07,0x0f,0x1f,0x1f,0x1f,0x1f,0x1f, 0x18,0x1E,0x1f,0x1f,0x1f,0x1f,0x1f,0x1f, 0x07,0x1f,0x1f,0x1f,0x1f,0x1f,0x1f,0x1f,

LCD1602液晶显示器

实验11:1602液晶显示屏显示(字符型液晶显示器) 字符型液晶显示器用于数字、字母、符号并可显示少量自定义符号。这类液晶显示器通常 有16根接口线,下表是这16根线的定义。 字符型液晶接口说明 编号符号引脚说明编号符号引脚说明 1 Vss 电源地 9 D 2 数据线2 2 Vdd 电源正 10 D 3 数据线3 3 VL 液晶显示偏压信号 11 D 4 数据线4 4 RS 数据/命令选择端 12 D 5 数据线5 5 R/W 读/ 写选择端 13 D 6 数据线6 6 E 使能信号 14 D 7 数据线7 7 D0 数据线0 15 BLA 背光源正极 8 D1 数据线1 16 BLK 背光源负极(本学习板配的 内部已经接地) 下图是字符型液晶显示器与单片机的接线图。这用了P0口的8根线作为液晶显示器的数据 线,用P20、P21、P22做为3根控制线。

字符型液晶显示器与单片机的接线图 字符型液晶显示器的使用,字符型液晶显示器一般采用HD44780芯片做为控制器的。 1.字符型液晶显示器的驱动程序 这个驱动程序适用于1602型字符液晶显示器, 1) 初始化液晶显示器命令(RSTLCD) 设置控制器的工作模式,在程序开始时调用。 参数:无。 2) 清屏命令(CLRLCD) 清除屏幕显示的所有内容 参数:无 3) 光标控制命令(SETCUR) 用来控制光标是否显示及是否闪烁 参数:1个,用于设定显示器的开关、光标的开关及是否闪烁。 4) 写字符命令(WRITECHAR) 在指定位置(行和列)显示指定的字符。

参数:共有3个,即行值、列值及待显示字符,分别存放在XPOS、YPOS和A中。其中行值与列值均从0开始计数,A中可直接写入字符的符号,编译程序自动转化为该字符的ASCII 值。 5) 字符串命令(WRITESTRING) 在指定位置显示指定的一串字符。 参数:共有3个,即行值、列值和R0指向待显示字符串的内存首地址,字符串须以0结尾。如果字符串的长度超过了从该列开始可显示的最多字符数,则其后字符被截断,并不在下 行显示出来。 以下是驱动源程序 ;************************************************** ;连线图: ; DB0---DPROT.0 DB4---DPROT.4 RS-------------P2.0 ; DB1---DPROT.1 DB5---DPROT.5 RW-------------P2.1 ; DB2---DPROT.2 DB6---DPROT.6 E--------------P2.2 ; DB3---DPROT.3 DB7---DPROT.7 VLCD接1K电阻到GND* ;系统晶振为11.0592 ;************************************************** RS BIT P2.0 RW BIT P2.1 E BIT P2.2 DPORT EQU P0 XPOS EQU R1 ;列方向地址指针 YPOS EQU R2 ;行方向地址指针 CUR EQU R3 ;设定光标参数 NoDisp EQU 0 ;无显示 NoCur EQU 1 ;有显示无光标 CurNoFlash EQU 2 ;有光标但不闪烁 CurFlash EQU 3 ;有光标且闪烁

1602液晶字符显示

1.基本简介 LCD1602工业字符型液晶,能够同时显示16x02即32个字符。(16列2行) 1602液晶也叫1602字符型液晶,它是一种专门用来显示字母、数字、符号等的点阵型液晶模块。它由若干个5X7或者5X11等点阵字符位组成,每个点阵字符位都可以显示一个字符,每位之间有一个点距的间隔,每行之间也有间隔,起到了字符间距和行间距的作用,正因为如此所以它不能很好地显示图形(用自定义CGRAM,显示效果也不好)。 1602LCD是指显示的内容为16X2,即可以显示两行,每行16个字符液晶模块(显示字符和数字)。 目前市面上字符液晶绝大多数是基于HD44780液晶芯片的,控制原理是完全相同的,因此基于HD44780写的控制程序可以很方便地应用于市面上大部分的字符型液晶。2.管脚功能 1602采用标准的16脚接口,其中: 第1脚:VSS为电源地 第2脚:VCC接5V电源正极 第3脚:V0为液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高(对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度)。 第4脚:RS为寄存器选择,高电平1时选择数据寄存器、低电平0时选择指令寄存器。 第5脚:RW为读写信号线,高电平(1)时进行读操作,低电平(0)时进行写操作。 第6脚:E(或EN)端为使能(enable)端,高电平(1)时读取信息,负跳变时执行指令。 第7~14脚:D0~D7为8位双向数据端。 第15~16脚:空脚或背灯电源。15脚背光正极,16脚背光负极。 ⑶特性 3.3V或5V工作电压,对比度可调 内含复位电路 提供各种控制命令,如:清屏、字符闪烁、光标闪烁、显示移位等多种功能 有80字节显示数据存储器DDRAM

LCD1602显示全部字库字符

LCD1602显示全部字库字符、看门狗定时器测试 LCD1602液晶内含有192个字符字库,这个程序是分6屏进行显示,整个显示过程长约7秒,看门狗定时器设置时间为8.38秒,刚好显示完全部字符,修改看门狗就可以看到在显示中途重启,比较直观 LCD_E BIT P3.4 ;LCD片选 LCD_RS BIT P3.5 ;指令、数据位 LCD_RW BIT P3.6 ;读、写位 PORT EQU P0 ;端口定义 WDT_COUNT EQU 0E1H ;看门狗 START: LCALL LCD_INIT LCALL WDT_INIT MOV A,#01H ;清屏 LCALL WR_CMD DISP_LOOP: MOV R0,#06H ;循环计数器 MOV R1,#80H ;LCD地址计数器 MOV R2,#00H ;字符表指针计数器 MOV DPTR,#TAB WR_DAT_LOOP: MOV A,R1 ;地址命令 LCALL WR_CMD INC R1 ;地址加一 MOV A,R2 ;表指针 MOVC A,@A+DPTR LCALL WR_DA T LCALL DELAY100MS INC R2 ;表指针加一 CJNE R1,#90H,BIJIAO ;字符是否到16 MOV R1,#0C0H ;到16,换地址 BIJIAO: CJNE R1,#0D0H,WR_DAT_LOOP ;字符数是否到32 MOV R1,#80H ;地址回归 LCALL DELAY1S MOV A,#1B ;清屏 LCALL WR_CMD DJNZ R0,WR_DA T_LOOP MOV WDT_COUNT,#00110111B LJMP DISP_LOOP LCD_INIT: MOV A,#111000B ;8位总线 LCALL WR_CMD MOV A,#10B ;数据指针清零

单片机+LCD1602液晶显示字符串

1602液晶显示字符串(附带程序)(注意说明:使用的实验板是郭天祥老师的52单片机板)

基本操作电路 状态字说明 RAM地址映射图

初始化相关指令的意思 读时序图

写时序图

要想通过1602液晶来显示你想要显示字符串,其实是很简单的,程序虽然有点长,但是都是按照步骤来的。 1602的五大步骤 第一个步骤: 检查LCD忙状态 lcd_busy为1时,忙,等待。lcd-busy为0时,闲,可写指令与数据。第二个步骤: 写指令数据到LCD RS=L,RW=L,E=高脉冲,D0-D7=指令码。 第三个步骤: 写显示数据到LCD RS=H,RW=L,E=高脉冲,D0-D7=数据。 第四个步骤: 设定显示位置 第五个步骤: LCD初始化设定 只要这五步你弄懂了,什么样的字符串都可以按照你的方式显示。至于这其中的步骤的具体的方式,我们就要参照1602的资料和时序图!不过你大可不必,这份文档就足够了! #include #include #define uchar unsigned char #define uint unsigned int

sbit dula=P2^6; sbit wela=P2^7; sbit LCD_RS = P3^5; sbit LCD_RW = P3^6; sbit LCD_EN = P3^4; uchar code dis1[] = {" WLCOME TO "}; uchar code dis2[] = {" JIANG GAN HUA "}; uchar code dis3[] = {" NAN CHANG "}; uchar code dis4[] = {" HANG KONG DX"}; void delay(int ms) { int i; while(ms--) { for(i = 0; i< 110; i++) { _nop_(); } } } bit lcd_busy() { bit result; LCD_RS = 0; LCD_RW = 1; LCD_EN = 1; _nop_(); _nop_(); _nop_(); _nop_(); result = (bit)(P0&0x80); LCD_EN = 0; return result; } void lcd_wcmd(uchar cmd) { while(lcd_busy()); LCD_RS = 0; LCD_RW = 0; LCD_EN = 0; _nop_(); _nop_(); P0 = cmd;

LCD1602汉字显示讲解

LCD1602显示汉字研究与程序设计 1602是一款最常用也是最便宜的液晶显示屏。最多可以显示两行标准字符,每行最多可以显示16个字符。 1602可以显示内部常用字符(包括阿拉伯数字,英文字母大小写,常用符号和日文假名等),也可以显示自定义字符(单或多个字符组成的简单汉字,符号,图案等,最多可以产生8个自定义字符)。 一、显示常用字符。 1602液晶模块内部的字符发生存储器(CGROM)已经存储了160个不同的点阵字符图形,如下表所示,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是41H,显示时模块把地址41H中的点阵字符图形显示出来,我们就能看到字母“A” 十进制十六进制ASCII字符十进制十六进制ASCII 字符十进制十六进制ASCII字符 00 00 自定义字符1 56 38 8 96 60 ` 01 01 自定义字符2 57 39 9 97 61 a 02 02 自定义字符3 58 3A : 98 62 b 03 03 自定义字符4 59 3B ; 99 63 c 04 04 自定义字符5 60 3C < 100 64 d 05 05 自定义字符6 61 3D = 101 65 e 06 06 自定义字符7 62 3E > 102 66 f 07 07 自定义字符8 63 3F ? 103 67 g 08 08 自定义字符1 64 40 @ 104 68 h 09 09 自定义字符2 65 41 A 105 69 i 10 0A 自定义字符3 66 42 B 106 6A j 11 0B 自定义字符4 67 43 C 107 6B k 12 0C 自定义字符5 68 44 D 108 6C l 13 0D 自定义字符6 69 45 E 109 6D m 14 0E 自定义字符7 70 46 F 110 6E n 15 0F 自定义字符8 71 47 G 111 6F o 32 20 空格72 48 H 112 70 p 33 21 ! 73 49 I 113 71 q 34 22 " 74 4A J 114 72 r 35 23 # 75 4B K 115 73 s 36 24 $ 76 4C L 116 74 t 37 25 % 77 4D M 117 75 u 38 26 & 78 4E N 118 76 v 39 27 ' 79 4F O 119 77 w 40 28 ( 80 50 P 120 78 x 41 29 ) 81 51 Q 121 79 y 42 2A * 82 52 R 122 7A z 43 2B + 83 53 S 123 7B { 44 2C , 84 54 T 124 7C | 45 2D - 85 55 U 125 7D }

1602液晶字符显示

1602液晶字符显示

1.基本简介 LCD1602工业字符型液晶,能够同时显示16x02即32个字符。(16列2行) 1602液晶也叫1602字符型液晶,它是一种专门用来显示字母、数字、符号等的点阵型液晶模块。它由若干个5X7或者5X11等点阵字符位组成,每个点阵字符位都可以显示一个字符,每位之间有一个点距的间隔,每行之间也有间隔,起到了字符间距和行间距的作用,正因为如此所以它不能很好地显示图形(用自定义CGRAM,显示效果也不好)。 1602LCD是指显示的内容为16X2,即可以显示两行,每行16个字符液晶模块(显示字符和数字)。 目前市面上字符液晶绝大多数是基于HD44780液晶芯片的,控制原理是完全相同的,因此基于HD44780写的控制程序可以很方

便地应用于市面上大部分的字符型液晶。 2.管脚功能 1602采用标准的16脚接口,其中: 第1脚:VSS为电源地 第2脚:VCC接5V电源正极 第3脚:V0为液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高(对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度)。 第4脚:RS为寄存器选择,高电平1时选择数据寄存器、低电平0时选择指令寄存器。 第5脚:RW为读写信号线,高电平(1)时进行读操作,低电平(0)时进行写操作。 第6脚:E(或EN)端为使能(enable)端,高电平(1)时读取信息,负跳变时执行指令。 第7~14脚:D0~D7为8位双向数据端。 第15~16脚:空脚或背灯电源。15脚背光正极,16脚背光负极。 ⑶特性 3.3V或5V工作电压,对比度可调

1602LCD字符手册

目录 一.字符型模块的性能???????????????????????????????????????1 二.基本原理???????????????????????????????????????????????2 三.技术参数???????????????????????????????????????????????3 四.时序特性???????????????????????????????????????????????4 五.引脚、指功能???????????????????????????????????????????5 六.使用实例???????????????????????????????????????????????6 七.使用注意事项???????????????????????????????????????????7

1.字符型模块的性能 重量轻:<100g; 体积小:<11mm厚; 功耗低:10—15mW; 显示内容:192种字符(5×7点字型); 32种字符(5×10点字型); 可自编8(5×7)或许(5×10)种字符; 指令功能强:可组合成各种输入、显示、移位方式以满足不同的要求; 接口简单方便:可与8位微处理器或微控制器相联; 工作温度宽:0—50oC 可靠性高:寿命为50,000小时(25oC) 2.基本原理 2.1 液晶体 液晶板上排列着若干5×7或5×10点阵的字符显示位,每个显示位可显示1个字符,从规格上分为每行8、16、20、24、32、40位,有一行、两行及四行三类。 2.2 工作电路 图1是字符型模块的电路框图,它由KS0066、KS0065及几个电阻电容组成。KS0065是扩展显示字符用的(例如:16个字符×1行模块就可不用KS0065,16个字符×2行模块就要用1片KS0065)。 图1 接口方面,有8条数据,三条控线。可与微处理器或微控制相连,通过送入数据和指令,就可使模块正常工作,图2是模块和微处理器相连的例子。

LCD1602液晶显示器设计

LCD1602液晶显示课程设计 第一章绪论 1.1课题背景 当今时候是一个信息化的时代,信息的重要性不言而喻的,获取手段显得尤其重要。人们所接受的信息有70%来自于人的视觉,无论用何种方式获取的信息最终需要有某种显示方式来表示。在当代显示技术中,主流的有LED显示屏和LCD液晶显示,而在这些显示技术中,尤其以液晶显示器LCD(Liquid crystal display)为代表的平板显示器发展最快,应用最广。LCD是典型的发光器件,它一材料科学为基础,综合利用了精密机械,光电及计算机技术,并正在微机械,微光学,纤维光学等前沿领域研究基础上,向高集成化,智能化方向发展。 液晶显示技术发展迅猛,市场预测表明,液晶显示平均年销售呈增长10%~13%,不久的将来有可能取代CRT,成为电子信息产品的主要显示器件,另外,液晶显示器对空间电磁辐射的干扰不敏感,且在紧凑的仪器空间不需要专门的屏蔽保护,因而课大大简化仪器的结构和制造成本,在各种便携式仪器,仪表将会越来越广泛的应用。特别是在电池供电的单片机产品中,液晶显示更是必选的显示器件。 1.2课题设计目标 本设计是基于AT89C51芯片单片机为主控芯片,结合1602液晶显示模板等外围电路,通过软件程序,来实现液晶显示英文字母。本次设计的目的在于利用单片机和IIC技术来显示英文字母。 1.3课程设计的主要工作 (1)对系统的各个模块的各个功能进行深入分析和研究,在对课题所采用的方案进行可行详细的研究后设计具体功能电路。 (2)熟悉所选芯片的功能并完成具体电路设计。

(3)对系统的最终指标进行测试,针对系统的不足,进行分析并提出一些改正方法。 1.4 设计要求 (1)运行IIC总线技术。 (2)循环显示字母。 第二章硬件设计 2.1 LCD1602简介 2.1.1 LCD1602引脚功能 LCD1602引脚如图2.1所示 图2.1 LCD1602引脚图 引脚图的功能如表2—1所示

液晶1602显示字符代码

1602液晶字符码 十十六ASCII 十十六ASCII 十十六ASCII 进制进制字符进制进制字符进制进制字符 00 00 自定义字符1 56 38 8 96 60 ` 01 01 自定义字符2 57 39 9 97 61 a 02 02 自定义字符3 58 3A : 98 62 b 03 03 自定义字符4 59 3B ; 99 63 c 04 04 自定义字符5 60 3C < 100 64 d 05 05 自定义字符6 61 3D = 101 65 e 06 06 自定义字符7 62 3E > 102 66 f 07 07 自定义字符8 63 3F ? 103 67 g 08 08 自定义字符1 64 40 @ 104 68 h 09 09 自定义字符2 65 41 A 105 69 i 10 0A 自定义字符3 66 42 B 106 6A j 11 0B 自定义字符4 67 43 C 107 6B k 12 0C 自定义字符5 68 44 D 108 6C l 13 0D 自定义字符6 69 45 E 109 6D m 14 0E 自定义字符7 70 46 F 110 6E n 15 0F 自定义字符8 71 47 G 111 6F o 32 20 空格72 48 H 112 70 p 33 21 ! 73 49 I 113 71 q 34 22 " 74 4A J 114 72 r 35 23 # 75 4B K 115 73 s 36 24 $ 76 4C L 116 74 t 37 25 % 77 4D M 117 75 u 38 26 & 78 4E N 118 76 v 39 27 ' 79 4F O 119 77 w 40 28 ( 80 50 P 120 78 x 41 29 ) 81 51 Q 121 79 y 42 2A * 82 52 R 122 7A z 43 2B + 83 53 S 123 7B { 44 2C , 84 54 T 124 7C | 45 2D - 85 55 U 125 7D } 46 2E . 86 56 V 126 7E ~ 47 2F / 87 57 W 48 30 0 88 58 X 49 31 1 89 59 Y 50 32 2 90 5A Z 51 33 3 91 5B [ 52 34 4 92 5C \ 53 35 5 93 5D ] 54 36 6 94 5E ^ 55 37 7 95 5F _

LCD-1602引脚功能

LCD1602已很普遍了,具体介绍我就不多说了,市面上字符液晶绝大多数是基于HD44780液晶芯片的,控制原理是完全相同的,因此HD44780写的控制程序可以很方便地应用于市面上大部分的字符型液晶。字符型LCD通常有14条引脚线或16条引脚线的LCD,多出来的2条线是背光电源线VCC(15脚)和地线GND(16脚),其控制原理与14脚的LCD完全一样,定义如下表所示: 字符型LCD的引脚定义 HD44780内置了DDRAM、CGROM和CGRAM。 DDRAM就是显示数据RAM,用来寄存待显示的字符代码。共80个字节,其地址和屏幕的对应关系如下表:

也就是说想要在LCD1602屏幕的第一行第一列显示一个"A"字,就要向DDRAM的00H地址写入“A”字的代码就行了。但具体的写入是要按LCD模块的指令格式来进行的,后面我会说到的。那么一行可有40个地址呀?是的,在1602中我们就用前16个就行了。第二行也一样用前16个地址。对应如下: DDRAM地址与显示位置的对应关系 我们知道文本文件中每一个字符都是用一个字节的代码记录的。一个汉字是用两个字节的代码记录。在PC上我们只要打开文本文件就能在屏幕上看到对应的字符是因为在操作系统里和BIOS里都固化有字符字模。什么是字模?就代表了是在点阵屏幕上点亮和熄灭的信息数据。例如“A” 字的字模: 01110 ○■■■○ 10001 ■○○○■ 10001 ■○○○■ 10001 ■○○○■ 11111 ■■■■■ 10001 ■○○○■ 10001 ■○○○■ 上图左边的数据就是字模数据,右边就是将左边数据用“○”代表0,用“■”代表1。看出是个“A”字了吗?在文本文件中“A”字的代码是41H,PC收到41H 的代码后就去字模文件中将代表A字的这一组数据送到显卡去点亮屏幕上相应的点,你就看到“A”这个字了。 刚才我说了想要在LCD1602屏幕的第一行第一列显示一个"A"字,就要向DDRAM 的00H地址写入“A”字的代码41H就行了,可41H这一个字节的代码如何才能让LCD模块在屏幕的阵点上显示“A”字呢?同样,在LCD模块上也固化了字模存储器,这就是CGROM和CGRAM。HD44780内置了192个常用字符的字模,存于字符产生器CGROM(Character Generator ROM)中,另外还有8个允许用户自定义的字符产生RAM,称为CGRAM(Character Generator RAM)。下图说明了CGROM 和CGRAM与字符的对应关系。

LCD1602显示屏地驱动设置及例程

LCD1602显示屏的驱动设置及例程 一般来说,LCD1602有16条引脚,据说还有14条引脚的,与16脚的相比缺少了背光电源A(15脚)和地线K(16脚)。我手里这块LCD1602的型号是HJ1602A,是绘晶科 技公司的产品,它有16条引脚。如图1所示: 图1 再来一张它的背面的,如图2所示: 引脚号符号引脚说明引脚号符号引脚说明 1 VSS 电源地9 D 2 数据端口 2 VDD 电源正极10 D 3 数据端口 3 VO 偏压信号11 D 4 数据端口 4 RS 命令/数据12 D 5 数据端口 5 RW 读/写13 D 6 数据端口 6 E 使能14 D 7 数据端口 7 D0 数据端口15 A 背光正极

图3

图4 二.基本操作 LCD1602的基本操作分为四种: 1. 读状态:输入RS=0,RW=1,E=高脉冲。输出:D0—D7为状态字。 2. 读数据:输入RS=1,RW=1,E=高脉冲。输出:D0—D7为数据。 3. 写命令:输入RS=0,RW=0,E=高脉冲。输出:无。 4. 写数据:输入RS=1,RW=0,E=高脉冲。输出:无。 读操作时序图(如图5): 图5 写操作时序图(如图6):

图6 时序时间参数(如图7): 图7 三.DDRAM、CGROM和CGRAM DDRAM(Display Data RAM)就是显示数据RAM,用来寄存待显示的字符代码。共80个字节,其地址和屏幕的对应关系如下(如图8): 图8 DDRAM相当于计算机的显存,我们为了在屏幕上显示字符,就把字符代码送入显存,这样该字符就可以显示在屏幕上了。同样LCD1602共有80个字节的显存,即DDRAM。但L CD1602的显示屏幕只有16×2大小,因此,并不是所有写入DDRAM的字符代码都能在屏幕上显示出来,只有写在上图所示范围内的字符才可以显示出来,写在范围外的字符不

LCD1602字符测试显示程序与仿真

#include "reg51.h" #include "intrins.h" #define uchar unsigned char #define uint unsigned int uchar code table1 []={" WELCOME "}; //欢迎显示,包括空格在内<=16 uchar code table2 []={"Name: "};//欢迎显示,包括空格在内<=16 //************管脚定义************************ sbit lcd_rs = P3^0; //液晶数据命令选择端 sbit lcd_en = P3^1; //液晶使能 //************参数定义************************ uint tvalue;//温度值 uchar tflag;//温度正负标志 uchar data disdata[5]; //************子函数定义************************ void delay(uchar z); //delay延时子程序 void init_lcd(); //LCD1602初始化函数 void write_com(uchar com); //LCD1602写指令函数 void write_data(uchar date); //LCD1602写数据函数 void lcd1602_display(uchar *q,uchar *p);//LCD1602显示函数 void welcome_1(); //LCD1602显示欢迎函数1 //************主函数************************ void main() { welcome_1(); delay(200); while(1); } //************delay延时子程序************************ void delay(uchar z)

lcd1602~~~~~~~~~~~~~~显示字符A

#include //包含单片机寄存器的头文件 #include //包含_nop_()函数定义的头文件 #include "LCD1602.h" void main(void) //主函数 { Init_LCD1602(); //调用LCD初始化函数 Write_Addr(0x07); //将显示地址指定为第1行第8列 Write_Data('A'); //将字符常量'A'写入液晶模块 //字符的字形点阵读出和显示由液晶模块自动完成while(1); } LCD1602.h: #ifndef _LCD1602_H_ #define _LCD1602_H_ sbit RS=P1^0; //寄存器选择位,将RS位定义为P2.0引脚 sbit RW=P1^1; //读写选择位,将RW位定义为P2.1引脚 sbit EN=P1^2; //使能信号位,将E位定义为P2.2引脚 sbit Busy=P0^7; //忙碌标志位,,将BF位定义为P0.7引脚 /***************************************************** 函数功能:延时1ms ***************************************************/ void Delay1ms() { unsigned char i,j; for(i=0;i<10;i++) for(j=0;j<33;j++); } /***************************************************** 函数功能:延时若干毫秒 入口参数:n ***************************************************/ void Delay(unsigned char n) { unsigned char i; for(i=0;i

at89c51和LCD1602显示日期

#include <> #include <> #include <> // 定义控制信号端口 sbit RS=P3^2; sbit RW=P3^3; sbit E= P3^4; void lcd_init(); void delay100us(unsigned char t); void delay5us(unsigned char n); void delay1s(z); void disp(char tab[]); void lcd_zdy(char com,char tab[]); unsigned char lcd_r_start(); void lcd_w_cmd(unsigned char com); void lcd_w_dat(unsigned char dat); main() { char year[]="2017"; char month[]="05" ; char day[]="11" ; char nian[]={0x04,0x0F,0x72,0x0F,0x0A,0x1F,0x02,0x00}; char yue[]={0x1E,0x12,0x1E,0x12,0x1E,0x12,0x16,0x00}; char ri[]={0x1E,0x12,0x12,0x1E,0x12,0x12,0x1E,0x00}; char wish[]="happy day"; while(1) { char x; P1=0xff; // 送全1到P1口 lcd_init(); delay100us(255); lcd_zdy(0x40,nian); lcd_zdy(0x48,yue); lcd_zdy(0x50,ri); lcd_w_cmd(0x82); disp(year); lcd_w_dat(0x00); disp(month); lcd_w_dat(0x01); disp(day); lcd_w_dat(0x02); delay1s(2);

LCD1602显示字符

成绩评定表 学生姓名班级学号 课程设计题目 专业计算机科学 与技术 评 语 组长签字: 成绩 日期2013 年1月日

课程设计任务书 学院信息学院专业计算机科学与技术 学生姓名付磊班级学号 1003050424 课程设计题目字符液晶显示装置设计 实践教学要求与任务: 要求:利用51单片机+1602字符lcd设计字符液晶显示系统,。需要有按钮控制显示的开始和停止。完成以下设计环节: (1)使用Uvision2开发平台,采用C语言或汇编语言设计软件程序。 (2)使用PROTEUS仿真软件,设计仿真原理图并运行软件程序,完成系统仿真。工作计划与进度安排: 第18周:布置课程设计任务,查阅资料,分组设计,实验室组装与调试。 第19周:调试,验收,答辩,编写课程设计报告。 指导教师: 2012年月日专业负责人: 2012年月日 学院教学副院长: 2012年月日

目录 1 仿真电路图设计 (1) 2 工作原理 (2) 2.1 液晶显示原理 (2) 2.2 1602LCD工作原理 (3) 3 程序及流程图 (5) 4 运行与结果 (9) 5 心得体会 (11) 6 参考文献 (11)

1 仿真电路图设计 LCD1602: 第 1 脚:VSS 为地电源。 第 2 脚:VDD接5V正电源。 第 3 脚:VEE为液晶显示器对比度调整端,接正电源时对比度最弱,接地时对比度最高,对 比度过高时会产生“鬼影”,使用时可以通过一个10K 的电位器调整对比度。 第 4 脚:RS 为寄存器选择,高电平时选择数据寄存器、低电平时选择指令寄存器。接8051 P3.5 第 5 脚:R/W 为读写信号线,高电平时进行读操作,低电平时进行写操作。当RS和R/W 共同为低电平时可以写入指令或者显示地址,当RS 为低电平R/W 为高电平时可以读忙信 号,当RS 为高电平R/W为低电平时可以写入数据。接8051 P3.6。 第 6 脚:E端为使能端,当E 端由高电平跳变成低电平时,液晶模块执行命令。接8051 P3.7。第7~14脚:D0~D7为8 位双向数据线。接8051 P1口。 8051: P3.2 P3.3 接按钮。

LCD1602液晶显示器简介

LCD1602液晶显示器简介 一概述 液晶(Liquid Crystal)是一种高分子材料,因其特殊的物理、化学、光学特性,广泛应用轻薄显示器上。 液晶显示器(Liquid Crystal Display,LCD)的主要原理是以电流刺激液晶分子产生点、线、面并配合背部灯管构成画面。 各种型号的液晶通常是按照显示字符的行数或液晶点阵的行、列数来命名。例如,1602表示每行显示16个字符,一共可以显示两行。这类液晶通常称为字符型液晶,只能显示ASCII码字符。12232表示液晶显示画面由122列、32行组成,共有122*32个点来显示各种图形。用户可以通过程序控制这些点中任何一个点显示或不显示,从而构成各种图形画面。因此,12232称为图形型液晶。 液晶体积小,功耗低,显示操作简单。但其有致命的弱点,即使用温度范围很窄。通用型液晶工作温度为0到+55摄氏度,存储温度为-20到+60摄氏度。 二 LCD1602 1 1602的外形尺寸(毫米) 2 主要技术参数

3接口信号说明 4 基本操作时序 4RAM地址映射图 控制器内部带有80B的RAM缓冲区。对应关系如下图所示。 向图中的00~0F、40~4F地址中的任意处写入显示数据时,液晶可立即显示出来;当写入到10~27或50~67地址时,必须通过移屏指令将他们一移入可显示区域方可正常显示。 1602液晶模块内部的字符发生存储器(CGROM)已经存储了160个不同的点阵字符图形,如下表所示。

这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是01000001B(41H),显示时模块把地址41H中的点阵字符图形显示出来,我们就能看到字母“A”。 6状态字说明 说明:原则上每次对控制器进行读写操作前,都必须进行读写检测,确保STA7为0。实际上,由于单片机的操作速度慢于液晶控制器的反应速度,因此可以不进行检测,或只进行简短的延时即可。 7 指令说明 1602液晶模块内部的控制器共有11条控制指令。 (1)显示模式设置

相关主题
文本预览
相关文档 最新文档