当前位置:文档之家› 关于汽车尾灯的课程设计

关于汽车尾灯的课程设计

关于汽车尾灯的课程设计
关于汽车尾灯的课程设计

引言

汽车尾灯是汽车的主要部分,在我们的生活中不可缺少,正是有了它才是我们的交通得以正常进行。现在社会上出现交通事故的比例很高除了自身原因外,汽车尾灯也发挥着主要的作用,尾灯的指示灯按正常指定闪烁将会避免很多事故的发生。汽车尾灯控制电路是数字电路在交通控制电路中的典型应用,在日常生活中有着广泛的应用,本设计基本上模拟了汽车运行过程中的实际状况,一般有左右各三个灯,当人们看到灯不同形式的亮灭闪烁时,就会知道驾驶员的操作意图,即行驶、左转、右转及刹车。本设计将借助Protel 99se和NI Multisim10仿真。

1 设计方案

1.1 汽车尾灯电路设计要求

汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。

(1)汽车正常运行时指示灯全灭

(2)汽车右转弯时,右侧3个灯按右循环顺序点亮

(3)汽车左转弯时,左侧3个灯按左循环顺序点亮

(4)汽车临时刹车时所有指示灯同时闪烁

1.2 设计原理及原理框图

汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。

首先,设置两个可控的开关,可产生00、01、10、11四种状态。

开关置为00状态时,汽车处于正常行驶状态;

开关置为01状态时,汽车处于右转弯的状态;

开关置为10状态时,汽车处于左转弯的状态;

开关置为11状态时,汽车处于刹车状态。

三进制计数器可由74LS163芯片和74LS00构成;译码电路可用译码器74LS138和6个与非门构成;显示、驱动电路由6个发光二极管和6个反向器构成。

原理框图如图所示:

图1.1 原理框图

2单元电路设计

2.1 时钟脉冲电路

555定时器简介:555定时器的功能主要由两个比较器决定。两个比较器的输出电压控制RS触发电路和放电管的状态。在电源与地之间加上电压,当5脚悬

空时,则电压比较器A1的反向输入端的电压为2/3Vcc,A2的同相输入端的电压为1/3Vcc,若触发输入端TR的电压小于1/3Vcc,则比较器A2的输出为1,,可使RS触发置1。,使输出端OUT为1。如果阙值输入端TH的电压大于2/3Vcc,同时TR电压大于1/3Vcc,则A1输出为1,,A2输出为0,,可将RS触发器置0,可使输出为0电平。下图为555定时器内部结构与引脚图:

图2.1 内部结构结构图图2.2引脚图

如图为由555定时器构成的多谐振荡器。接通电源后,电容C被充电,Vc上升,当Vc上升到2/3Vcc时,触发器被复位,此时Vo为低电平,电容C通过R2和T放电,使Vc下降。当Vc先讲到1/3Vcc时,触发器又被复位,Vo翻转为高电平。周期T为:

T=(R1+2R2)Cln2≈0.7(R1+2R2)C=0.7(28.6K+56.7K×2)×10nF=0.994us 这样,通过电容充放电时间,使多谐振荡器产生时钟信号。

图2. 3 时钟脉冲电路

时钟脉冲波形如下图所示:

图2. 4脉冲波形图

2.2 开关控制电路

开关控制电路通过控制开关J1和J2的开通于关断,实现汽车正常行驶、左转弯、右转弯和刹车四种状态。

J1、J2置于00状态时,汽车处于正常行驶状态;

J1、J2置于01状态时,汽车处于右转弯状态;

J1、J2置于10状态时,汽车处于左转弯状态;

J1、J2置于11状态时,汽车处于刹车状态。

图2.5开关控制电路图

2.3 三进制计数器

汽车左或右转弯时由于是三个指示灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求电路,由此得出在每种运行状态下,各指示灯与各给定条件的关系,即逻辑功能表:(0表示灯灭,1表示灯亮)

开关控制

J1 J2

三进制计数器

Q1 Q0

六个指示灯

1 2 3 4 5 6

0 0 0 0 0 0 0 0

0 1

0 0

0 1

1 0

1 0 0 0 0 0

0 1 0 0 0 0

0 0 1 0 0 0

1 0

0 0

0 1

1 1

0 0 0 1 0 0

0 0 0 0 1 0

0 0 0 0 0 1

1 1 cp cp cp cp cp cp

此计数器由74LS163芯片主要构成,74LS163计数功能简介:其计数是同步的,靠CP同时加在四个触发器上而实现的,当CTp和CTt均为高电平时,在CP 上升沿作用下Q0-Q3同时变化,从而消除了异步计数器中出现的计数尖峰。对于74LS163,只有当CP为高电平时CTp和CTt才允许高至低电平的跳变,而与CP 无关。74LS163的引脚图及真值表:

表2.32 74LS163真值表

图2.6 74LS163引脚图

计数器电路图如图所示:

图2.7三进制计数器电路图

2.4 译码、显示驱动电路

此电路由74LS138芯片和6个与非门,6个反向器和发光二极管构成。

74LS138芯片简介:74138为3线-8线译码器,,其工作原理如下:当一个选通端为高电平,另两个选通端为低电平时,可将地址端的二进制编码在一个对应的输出端以低电平译出。若外接一个反向器可级联扩展成32线译码器,若将选通端中的一个作为数据输入端时,74138还可以做数据分配器。下图为其引脚图和真值表:

表2.41 74LS138真值表

图2.8引脚图

译码、显示驱动电路图如下图所示;

图2.9 译码、显示驱动电路图

3 性能测试与仿真

3.1 Protel 99SE和NI Multisim10仿真软件的简单介绍

3.11 Protel 99SE的简单介绍

Protel 99SE按照系统功能划分主要包含以下两大部分和6个功能模块。

电路工程设计部分

(1)电路原理设计部分(Advanced Schematic 99):电路原理图设计部分包括电路图编辑器(简称SCH编辑器)、电路图零件库编辑器(简称Schlib 编辑器)以及各种文本编辑器。本系统的主要功能是:绘制、修改和编辑电路原理图;更新和修改电路图零件库;查看和编辑有关电路图和零件库的各种报表。

(2)印刷电路板设计系统(Advanced PCB 99):印刷电路板设计系统包括印刷电路板编辑器(简称PCB编辑器)、零件封装编辑器(简称PCBLib 编辑器)和电路板组件管理器。本系统的主要功能是:绘制、修改和编辑电路板;更新和修改零件封装;管理电路板组件。

(3)自动布线系统(Advanced Route 99):本系统包含一个基于形状(Shape-based)的无栅格自动布线器,用于印刷电路板的自动布线,以实现PCB设计的自动化。

电路仿真与PLD部分

(1)电路模拟仿真系统(Advanced SIM 99):电路模拟仿真系统包含一个数字/模拟信号仿真器,可提供连续的数字信号和模拟信号,以便对电路原理图进行信号模拟仿真,从而验证其正确性和可行性。

(2)可编程逻辑设计系统(Advanced PLD 99):可编程逻辑设计系统包含一个有语法功能的文本编辑器和一个波形编辑器(Waveform)。本系统的主要功能是;对逻辑电路进行分析、综合;观察信号的波形。利用PLD

系统可以最大限度的精简逻辑部件,使数字电路设计达到最简化。

(3)高级信号完整性分析系统(Advanced Integrity 99):信号完整性分析系统提供了一个精确的信号完整性模拟器,可用来分析PCB设计、检查电路设计参数、实验超调量、阻抗和信号谐波要求等。

3.12 NI Multisim10的简单介绍

NI Multisim10仿真软件适用于板级的模拟/数字电路板的设计。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。NI Multisim10具有较为详细的电路分析功能,可以完成电路的瞬态和稳态分析、时域和频域的分析、器件的线性和非线性分析等功能,可以帮助设计人员分析电路的性能。还可以设计、测试和演示各种电子电路,包括电工学、模拟电路、数字电路和接口电路等,在进行仿真的同时,软件还可以存储测试点的所有数据,列出被仿真电路的所有原器件清单,以及存储测试仪器的工作状态、显示波形和具体数据等。

利用NI Multisim10可以实现计算机仿真设计与虚拟实验,具有如下特点:设计与实验可以同步进行;设计和实验用的元器件及测试仪器仪表种类齐全;可方便的对电路进行测试与分析,且易学易用,便于电气类学生学习、开展综合性的设计与实验,有利于培养综合分析能力、开发和创新能力。

3.2 利用IN Multisim10进行测试与仿真

当汽车正常行驶时,J1J2处于00状态,指示灯全灭,仿真结果如图所示:

图3. 1正常行驶时电路仿真图

测得仿真波形如下图所示:

图3.2正常行驶时左尾灯仿真波形图

图3. 3正常行驶时右尾灯仿真波形图

当汽车左转时,J1、J2处于10状态,LED4、LED5、LED6循环点亮,仿真电路图如下图所示:

图3. 4左转弯时电路仿真图

仿真波形如下图所示:

图3. 5左转弯时左尾灯仿真波形图

图3. 6左转弯时右尾灯仿真波形图

当汽车右转,J1、J2处于01状态,LED1、LED2、LED3循环点亮,仿真电路图如下图所示:

图3. 7右转弯时电路仿真图

仿真波形如下图所示:

图3. 8右转弯时左尾灯仿真波形图

图3. 9右转弯时右尾灯仿真波形图

当汽车刹车时,J1、J2处于11状态,六盏灯同时闪烁,仿真电路图如下图所示:

图3.10汽车刹车时电路仿真图

仿真波形图如下图所示:

图3.11刹车时左尾灯仿真波形图

图3.12刹车时右尾灯仿真波形图

3.3 Protel 99SE 原理图(SCH)和印制电路板(PCB)3.31 原理图(SCH)

图3.13汽车尾灯控制电路总原理图

3.32 布线图

图3.14 布线图

3.33 电路板(PCB)

图3.15 电路板(PCB)

4 结论

时钟脉冲电路波形分析:先观察时钟脉冲产生电路,见图2.3。产生的脉冲波形如图2.4,可见并不是完美的方波,频率也有一些小波动。这是由于基于555的多谐震荡电路精度并不是很高,但在允许误差范围内。

右转弯时LED阴极电平波形分析:由图3.8和图3.9可知。右转向时D4到D6阴极全为高电平,而D1到D3间隔出现低电平。左尾灯全不亮,右尾灯D1、D2、D3依次点亮,满足设计要求。

左转弯时LED阴极电平波形分析:由图3.5和图3.6可知。左转向时D1到D3

阴极全为高电平,而D4到D6间隔出现低电平。右尾灯全不亮,左尾灯D4、D5、D6依次点亮,满足设计要求。

汽车刹车时LED阴极电平波形分析:由图3.11和图3.12可知,6个LED均随时钟脉冲CP而变化。呈现所有灯同时闪烁,也符合设计要求。

通过为期一周的课程设计,基本完成了本次设计的设计要求:汽车正常运行时指示灯全灭,汽车右转弯时,右侧3个灯按右循环顺序点亮,车左转弯时,左侧3个灯按左循环顺序点亮,汽车临时刹车时所有指示灯同时闪烁。本次设计是通过查阅各种资料和小组讨论与思考做出来的,在设计的过程中,单元电路仿真和性能的测试是用IN Multisim10软件进行仿真的,效果非常明显,最后的总原理电路图借助Protel 99SE完成,经过构建网络表,自动布线等环节,最终制成了PCB电路板。设计基本实现了汽车在运行时尾灯点亮方式的各种情况。但仍然存在很多不足的地方,例如某种程度上脱离了汽车真正行驶时的实际情况,还存在很多细节方面要注意的问题。如果在时间允许的条件下可以对这一系列不足进行逐步的改正。

精品文档,欢迎下载使用!

汽车尾灯课程设计

综述 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节. 当今社会生活节奏快,交通拥挤,导致交通事故频繁发生,其中汽车追尾事件在交通事故中所占比重较大,追尾时间的产生主要是由于司机的疏忽以及无法把握前方车辆的运行的状况而导致的;而汽车尾灯控制电路的产生,恰好有利于缓解这一状况,通过对尾灯的控制,体现汽车在公路的上的行驶状态,即汽车正常行驶时指示灯全灭;右转弯时,右侧3个指示灯右循环点亮左转弯时左侧三个指示灯按左循环循序点亮;临时刹车时所有指示灯同时闪烁。通过这一特点来提示后方车辆本车的行驶情况,有利于减少汽车追尾事件的发生,是一个值得普及的设计,而与此同时在此设计的基础上还可实现电路的拓展,例如加上被劫持报警装置等实用设备。 汽车尾灯控制电路如果在汽车领域广泛应用将有利于减少交通事故的发生。 1 总体逻辑结构 1.1汽车尾灯运行状态关系 根据课程设计任务书要求,分析汽车运行状态与尾灯关系可得如下关系表(表1-1)。其中J1,J2代表控制开关。 表1-1 汽车尾灯与汽车运行关系表 J2 J1 运行状态左尾灯右尾灯

0 0 1 1 0 1 1 正常行驶 右转弯 左转弯 紧急刹车 灭 灭 左尾灯循环闪烁 所有灯同时闪烁 灭 右尾灯循环闪烁 灭 所有灯同时闪烁 1.2汽车尾灯电路的逻辑电路关系 按照以上汽车的运行状态与尾灯关系分析总结,写出汽车尾灯正常行驶,左转弯,右转弯,紧急刹车时的二进制代码,以实现汽车正常行驶时指示灯全灭;右转弯时,右侧3个指示灯右循环点亮;左转弯时左侧三个指示灯按左循环循序点亮;临时刹车时所有指示灯同时闪烁的任务要求。其关系如下表(表1-2)。 表1-2汽车尾灯电路的逻辑关系表 开关控制二进制代码左尾灯右尾灯 J2 0 0 0 0 1 1 1 1 J1 1 1 1 1 Q1 X 1 1 X Q0 X 1 1 X D4 1 C L K D5 1 C L K D6 1 C L K D1 1 C L K D2 1 C L K D3 1 C L K

数电课设汽车尾灯控制电路终审稿)

数电课设汽车尾灯控制 电路 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

课程设计课程名称数字电子技术 课题名称汽车尾灯控制电路 专业自动化 班级1591班 学号 姓名黄建龙 指导老师程春红 2017年 03 月 16 日

电气信息学院 课程设计任务书 课题名汽车尾灯控制电路 姓黄建龙专自动化班1591班学21 指导老程春红 课程设计时 一、任务及要求 任务:假设汽车尾部左右量测各有3个指示灯(用发光二极管模拟)1.汽车正常运行时指示灯全灭;2.右转弯时,右侧3个指示灯按右循环顺序点亮;3.左转弯时,左侧3个指示灯按左循环顺序点亮;4.临时刹车时所有指示灯同时闪烁。要求:1.设计思路清晰,给出整体设计框图,画出整机原理图;2.给出具体设 计思路,设计各单元电路、电路器件;3.总电路设计;4.进行实验仿真调试,验 证设计结果;5.编写设计说明书;6.所有图纸和说明书用计算机打印。 二、进度安排 第一周: 周一:课题内容介绍和查找资料; 周二~周三:方案设计,电路仿真,周三下午检查设计方案及仿真结果; 周四~周日:周四上午领元器件;安装、调试电路; 第二周: 周一~周三:安装、调试电路; 周四:验收电路,收元器件,整理实验室,撰写设计报告,打印相关图纸; 周五:答辩,收设计报告。 三、参考资料 1.康华光主编. 电子技术基础(数字部分),高等教育出版社。 2.阎石主编. 电子技术基础(数字部分),清华大学出版社。 3.任为民主编. 电子技术基础课程设计,中央广播电视大学出版社。 4.彭介华主编. 电子技术课程设计指导,高等教育出版社。 5.谢自美主编.《电子线路设计、实验、测试》,华中理工出版社。 目录 一、课程设计的任务要求---------------------------------------3 二、设计方案-------------------------------------------------4

课程设计——汽车尾灯控制器的设计1

& 成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 《 汽车尾灯控制器的设计 题目 学生姓名 专业 班级 : 指导教师 日期:2010年7月5日 {

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module after the formation of a car taillight integrated the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory · -

数字电路课程设计汽车尾灯控制电路设计

汽车尾灯控制电路的设计 目录 1.设计任务和设计要求 (1) 1.1设计任务 (1) 1.2设计要求 (1) 2. 设计原理与总体框图 (1) 3.单元电路设计 (2) 3.1三进制计数器 (2) 3.2汽车尾灯控电路 (3) 3.3开关控制电路 (4) 3.4时钟产生电路 (5) 4.汽车尾灯总体电路 (5) 5.试验方案及体会 (7) 6.器件清单 (7) 7.参考文献 (11)

1.设计任务和设计要求 1.1设计任务 设计一个汽车尾灯控制电路。汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 1.2设计要求 设汽车尾灯左右两侧各有3个指示灯(用发光管模拟)。要求是: (1)汽车正常行驶时,尾灯全部熄灭。 (2)当汽车左转弯时,右侧3个指示灯按左循环点亮。 (3)当汽车右转弯时,左侧3个指示灯按右循环点亮。 (4)临时刹车时,所有指示灯同时闪烁。 (5)选择电路方案,完成对确定方案的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。制作实际运行装置。 表1.1尾灯和汽车运行状态关系表 2. 设计原理与总体框图 根据设计的基本要求,汽车左或右转弯时 , 三个指示灯循环点亮 , 所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件 (SI 、S0 、 CP 、 Q1 、 Q0 )的关系 , 即逻辑功能表如表2.1所示 ( 表中0表示灯灭状态 ,1表示灯亮状

态 ) 。 表2.1.汽车尾灯控制逻辑功能表 开关控制 三进制计数器六个指示灯 S1 S0 Q1 Q0 D6 D5 D4 D1 D2 D3 0 0 ××0 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 1 0 0 0 0 1 1 0 0 0 1 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 1 1 ××CP CP CP CP CP CP 根据表1.1可以得出原理框图,如图2.1所示。 图2.1 汽车尾灯控制电路原理图 3.单元电路设计 3.1三进制计数器 三进制计数器可由双JK触发器74LS76构成,其连接电路如图3.1所示。

汽车尾灯课程设计

目录 一、设计课题任务和要求 (2) 二、总体方案选择的论证 (2) 三、单元电路的设计 (4) 四、总体电路图、功能单元电路图 (6) 五、组装与调试 (9) 六、所设计电路的特点以及改进意见 (11) 七、所用元器件的编号列表 (11) 八、参考文献 (11) 九、收获、体会和建议 (12) 十、附录 (12) 一、设计课题任务和要求 本课题设计一个汽车LED尾灯的控制器电路。该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。 当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。 当接通刹车电键时,汽车所有的尾灯点亮。 当接通检查电键时,汽车所有的尾灯同时闪烁(0.5—1S/次)。 二、总体方案选择的论证 为了区分汽车尾灯的4种不同的显示模式,我们设置4个状态控制变量。假定用开关K1、K2、K3、K4进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表1所示。

1 0 1 1 右转弯熄灭 按D4、D5、D6顺序 循环点亮 1 1 0 1 刹车同时点亮同时点亮 1 1 1 0 检查同时闪烁同时闪烁 在汽车左右转弯行驶时由于3 个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量K1、K0,计数器的状态Q1、Q0以及时钟脉冲CP之间关系的功能表如表2所示(表中指示灯的 开关计数器状态汽车尾灯状态 K1 K2 K3 K4 Q1 Q0 D1 D2 D3 D4 D5 D6 0 1 1 1 0 0 0 0 1 0 0 0 0 1 0 1 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 0 1 0 0 0 0 0 0 1 1 1 0 1 - - 1 1 1 1 1 1 1 1 1 0 - - CP CP CP CP CP CP 表 2 汽车尾灯控制器功能表 根据以上设计分析与功能描述,可以得出汽车尾灯控制器的结构框图,如图1所示。

汽车尾灯课程设计++VHDL++EDAgrx

《2011至尊恋爱秘籍》男人幸福必备! 目录 1.引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (1) 1.3 EDA的介绍 (1) 1.3.1 EDA技术的概念 (1) 1.3.2 EDA技术的特点 (2) 1.3.3 EDA设计流程 (2) 1.4硬件描述语言(VHDL) (2) 1.4.1 VHDL的介绍 (2) 1.4.2 VHDL语言的特点 (3) 2.总体设计 (4) 2.1需求分析 (4) 2.2汽车尾灯控制器的工作原理 (4) 2.3 汽车运行状态表和总体框图 (5) 3.详细设计 (6) 3.1各组成模块 (6) 3.2时钟分频模块 (6) 3.3 汽车尾灯主控模块 (6) 3.4左边灯控制模块 (7) 3.5右边灯控制模块 (9) 4.系统仿真与调试 (10) 4.1分频模块仿真及分析 (10) 4.2汽车尾灯主控模块仿真及分析 (10) 4.3左边灯控制模块仿真及分析 (11) 4.4右边灯控制模块仿真及分析 (11) 4.5整个系统仿真及分析 (12) 4.6 总体设计电路图 (12) 总结 (13) 参考文献 (14)

1.引言 随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。在本课程设计根据状态机原理[1]实现了汽车尾灯常用控制。 1.1设计的目的 本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术[2]并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对实用汽车尾灯控制器[3]的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。 1.2设计的基本内容 根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 1.3 EDA的介绍 1.3.1 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

数电课程设计汽车尾灯控制电路

汽车尾灯控制电路的设计 一、设计基本要求: 假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟) 1.汽车整车运行时指示灯全灭; 2.右转弯时,右侧3个指示灯按右循环顺序点亮; 3.左转弯时左侧3个指示灯按左循环顺序点亮; 4.临时刹车时所有指示灯同时闪烁 二、设计方案: 1.汽车尾灯显示状态与汽车运行状态的关系 为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。假定用开关J1和J2进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表所示。 2. 在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。 方案原理框图如下图所示 开关控制电路显示、驱动电路 译码电路 计数器 {尾灯电路 汽车尾灯控制电路原理框图 本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。 三、电路设计步骤: 1.时钟脉冲电路

由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。时钟脉冲电路如 下图1所示: 1.时钟脉冲电路 555定时器引脚图 2. 三进制计数器 汽车左或右转弯时由于是三个指示灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求电路,由此得出在每种运行状态下,各指示灯与各给定条件的关系,即逻辑功能表如下表所示:(0表示灯灭,1表示灯亮)

EDA汽车尾灯控制课程设计报告

《EDA技术应用》 课程设计报告 专业:通信工程 班级:09312班 姓名:某某某 指导教师:杨祖芳曾凡忠 2012年05月20日

目录 1引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (1) 2 EDA、VHDL简介 (1) 2.1EDA技术 (1) 2.2硬件描述语言(VHDL) (2) 3汽车尾灯控制器的设计过程 (3) 3.1系统需求分析 (3) 3.2汽车尾灯控制器的工作原理 (3) 3.3各组成模块原理及程序 (4) 4系统仿真 (9) 4.1分频模块仿真及分析 (9) 4.2汽车尾灯主控模块仿真及分析 (10) 4.3左边灯控制模块仿真及分析 (11) 4.4右边灯控制模块仿真及分析 (12) 4.5整个系统仿真及分析 (13) 结束语 (15) 指导老师意见 (16) 参考书目 (16)

1引言 随着人们生活水平的提高,汽车的消费量越来越大。因为人们也越来越忙,不管是夜晚还是阴雨、大雾等天气原因的影响,人们都开着车在纵横交错的马路上行驶。为了提高人们因夜晚或因天气原因在纵横交错的马路上驾驶的安全系数,也是为了减少交通事故的发生。我们采用了先进的EDA技术,Quartus Ⅱ工作平台和VHDL语言,设计了一种基于FPGA的汽车尾灯控制系统,并对系统进行了仿真机验证。这一控制电路,结构简单、性能稳定、操作方便、抗干扰能力强。将它应用于现代汽车,不受黑夜或大雾、阴雨天气因素的影响,可以提高安全行驶,避免交通事故的发生。真正的让消费者驾驶汽车的方便和安全。 1.1 设计的目的 其一、设计一个能适应现代汽车智能化发展要求的汽车尾灯控制电路。改善以前的汽车尾灯控制系统,降低汽车尾灯控制器的生产成本。其二、学好VHDL 这门硬件描述语言,加深对VHDL语言知识的理解和掌握,提高学习能力和创新能力,使自己适应不断发展的21世纪。 1.2 设计的基本内容 根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 2 EDA、VHDL简介 2.1 EDA技术 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

课程设计:汽车尾灯控制电路word文档

西南科技大学电子技术课程设计 课程名称:电子技术课程设计 程序题目:汽车尾灯控制电路 姓名:何忠建左朝振 学号: 20045081 20045100 班级:自动 0405 班 指导教师:曹文 时间:2007.1.14 评分:

汽车尾灯控制电路 一.设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按 右循环顺序点亮(R 1→R 1 R 2 →R 1 R 2 R 3 →全灭→R 1 )时间间隔0.5S(采用一个2HZ的 方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L 1→L 1 L 2 →L 1 L 2 L 3 →全 灭→L 1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R 1 R 2 R 3 L 1 L 2 L 3 点亮);当汽车后退的时候所有尾灯循环点亮;当晚上行车的时候汽车尾灯的最下一个灯一直点亮。 二、设计条件 本设计基于学校电子技术实验后设计的,通过在电脑上利用各种软件设计而成,包括Quartus II 5.0,Multisim2001以及DXP2004等设计仿真软件。 三、设计要求 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。汽车尾灯控制电路设计总体框图如图1所示。 汽车尾灯和汽车运行状态表1-1

汽车尾灯课程设计报告

课程设计报告 课程名称:电子技术课程设计 设计题目:汽车尾灯控制器 专业:电气工程及其自动化 班级: 2009 学号 学生XX:李博 时间:2012 年 2月 27 日~3月 2 日 ―――――――以下指导教师填写―――――分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

课程设计报告要求和成绩评定 1报告基本内容 前言,目录,任务书,正文,参考文献。 2 书写用纸 A4复印纸。 3 书写要求 主要部分手工双面或单面书写(计算机绘图等指定内容可打印),字迹清楚,每页20行左右,每行30字左右,排列整齐;页码居中写在页面下方;纸面上下左右4侧边距均为2厘米。 前言和目录合写作为第一页;参考文献接正文书写,不另起页。 公式单占一行居中书写;插图要有图号和图题,图号和图题书写在插图下方;表格要有表号和表题,表号和表题在表格上方书写;物理量单位和符号、参考文献引用和书写以及图纸绘制要符合有关标准规定;有关细节可参考我院《毕业设计成品规X》。 4 装订 装订顺序:封面,前言和目录,任务书,正文及参考文献,图纸,封底;左边为装订边,三钉装订,中间钉反向装订。 5 成绩评定 课程设计成绩由出勤(10分)、报告书写规X性及成品[注]质量(30分)、答辩及考核(60分)三部分成绩合成后折合为优秀(90-100分)、良好(80-89分)、中(70-79分)、及格(60-69分)或不及格(60分以下)。 注:成品含义由课程设计任务书规定,除课程设计报告外,还可以包括图纸、计算机程序、制作品、实验或测试方案等。

前言 在当今社会中,数字时代已经成为一种现实,并且时刻影响着人们的日常生活,作为数字化的基础——数字电子电路,无疑是至关重要的。数字电路课程设计便是本课程的一种很好的实践,更是加深电子技术理论理解的重要途径,同时有助于培养我们严谨,探索的科学精神。 “汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、四输入与非门74LS20、六反相器74LS04、3-8译码器,555定时器及电阻电容进行搭建。综合数字电路和模拟电路的知识,提升了我们理实际解决问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。 在实际的设计过程中得到了尚志刚,苏士美等老师的鼎力相助,谢谢他们的无私的指导,“汽车尾灯控制电路”才得以顺利完成。再次祝他们工作顺利,万事如意。 由于时间紧迫和水平有限,本课程设计报告还存在瑕疵,恳请老师提出指正意见。 作者:李博 2012年3月2日

数电课程设计《汽车尾灯控制系统》

课程设计报告 设计题目:汽车尾灯控制系统班级:计算机1206班 学号: 2012XXX 姓名: XXX 指导教师:马学文 设计时间: 2014年8月

摘要 在现代飞速发展的现代化社会背景下,汽车这一高科技产物越来越多地被人们使用,但也由此造成了一系列的问题,比如,由于汽车的突然转向所引发的车祸常出现。如果汽车转弯时能够通过尾灯状态的变化来提示司机,行人汽车转弯,就可减少车祸发生。因此,汽车尾灯就起到了一种信号、警示、标志的作用,也是司机在行车途中必须注意的。本次实验报告是关于取车尾灯控制系统的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。整个电路有三进制计数器、译码与显示驱动电路、尾灯状态显示电路、开关控制电路4个部分组成。分析使能控制信号与公模控制变量与时钟脉冲的关系,555定时器、3线—8线译码器实现了根据汽车运行状态指示显示4种不同的状态模式。本次报告详细讲解了该系统的设计思路及其具体的实现过程。 关键词: 计数器、译码器、定时器、时钟脉冲

目录 摘要 2 第1章概述4第2章课程设计任务及要求4 2.1 设计任务 4 2.2 设计要求 4 第3章系统设计4 3.1方案论证 4 3.2 系统设计 5 3.2.1 结构框图及说明 5 3.2.2 系统原理图及工作原理 5 3.3 单元电路设计 6 3.3.1单元电路工作原理 6 3.3.2元件参数选择10 第4章软件仿真11 4.1 仿真电路图11 4.2 仿真过程13 4.2 仿真结果15 第5章安装调试17 5.2 安装调试过程17 5.3 故障分析17 第6章结论18第7章使用仪器设备清单19参考文献19 收获、体会和建议20

关于汽车尾灯的课程设计

引言 汽车尾灯是汽车的主要部分,在我们的生活中不可缺少,正是有了它才是我们的交通得以正常进行。现在社会上出现交通事故的比例很高除了自身原因外,汽车尾灯也发挥着主要的作用,尾灯的指示灯按正常指定闪烁将会避免很多事故的发生。汽车尾灯控制电路是数字电路在交通控制电路中的典型应用,在日常生活中有着广泛的应用,本设计基本上模拟了汽车运行过程中的实际状况,一般有左右各三个灯,当人们看到灯不同形式的亮灭闪烁时,就会知道驾驶员的操作意图,即行驶、左转、右转及刹车。本设计将借助Protel 99se和NI Multisim10仿真。

1 设计方案 1.1 汽车尾灯电路设计要求 汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。 (1)汽车正常运行时指示灯全灭 (2)汽车右转弯时,右侧3个灯按右循环顺序点亮 (3)汽车左转弯时,左侧3个灯按左循环顺序点亮 (4)汽车临时刹车时所有指示灯同时闪烁 1.2 设计原理及原理框图 汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。 首先,设置两个可控的开关,可产生00、01、10、11四种状态。 开关置为00状态时,汽车处于正常行驶状态; 开关置为01状态时,汽车处于右转弯的状态; 开关置为10状态时,汽车处于左转弯的状态; 开关置为11状态时,汽车处于刹车状态。 三进制计数器可由74LS163芯片和74LS00构成;译码电路可用译码器74LS138和6个与非门构成;显示、驱动电路由6个发光二极管和6个反向器构成。 原理框图如图所示: 图1.1 原理框图 2单元电路设计 2.1 时钟脉冲电路 555定时器简介:555定时器的功能主要由两个比较器决定。两个比较器的输出电压控制RS触发电路和放电管的状态。在电源与地之间加上电压,当5脚悬

课程设计报告—汽车尾灯

课程设计任务书 学生姓名:吴舟专业班级:电子科学与技术0801班指导教师:吴友宇工作单位:信息工程学院 题目: 汽车尾灯控制器的电路设计 初始条件: 本设计既可以使用中、小规模集成电路芯片7400、7404、 74138、7476、7486和其它器件实现对汽车尾灯显示的控制功 能。本设计也可以使用单片机系统构建简易频率计。工作电源 Vcc为12V。电路组成框图如图1所示: 图1汽车尾灯控制电路图要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等 具体要求) 1、课程设计工作量:1周。 2、技术要求:设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是: ①汽车正常行驶时,尾灯全部熄灭。 ②当汽车右转弯时,右侧3个指示灯按右循顺序点亮。 ③当汽车左转弯时,左侧3个指示灯按左循顺序点亮。 ④临时刹车时,所有指示灯同时闪烁。 ⑤选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画 出总体电路原理图,阐述基本原理。制作实际运行装置。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2010 年6 月28日集中,作课设具体实施计划与课程设计报告格式的要求说明。 2、2010 年6 月28 日,查阅相关资料,学习电路的工作原理。 2、2010 年6 月29 日至2010年6月29 日,方案选择和电路设计。 2、2010 年6月30 日至2010 年7 月1 日,电路调试和设计说明书撰写。 3、2010 年7 月2 日上交课程设计成果及报告,同时进行答辩。 课设答疑地点:鉴主13楼电子科学与技术实验室。 指导教师签名:年月日系主任(或责任教师)签名:年月日

EDA课程设计(汽车尾灯设计与实现)

EDA课程设计 课题名称:汽车尾灯的设计 院系:信息科学与工程 专业班级: 姓名: 学号: 指导老师: 2013.12.20

目录 摘要..................................................................................................................错误!未定义书签。第1章选题依据 (1) 1.1汽车尾灯的国内外发展现状 (1) 1.2可编程器件的发展 (1) 1.3可编程器件在汽车电子上的运用 (2) 1.4设计内容和目标 (3) EDA设计流程 (3) 第2章EDA、VHDL简介 (4) 2.1EDA技术 (4) 2.1.1EDA技术的概念 (4) 2.1.2EDA技术的特点 (4) 2.1.3EDA设计流程 (4) 2.2硬件描述语言(VHDL) (4) 2.2.1VHDL简介 (4) 2.2.2VHDL语言的特点 (5) 第3章设计实现 (6) 3.1汽车尾灯控制器的工作原理 (6) 3.1.1功能描述 (6) 3.1.2模块设计 (6) (1)汽车尾灯主控制模块 (7) (2)时钟分频模块 (7) (3)左侧尾灯功能模块 (7) (4)右侧尾灯功能模块 (7) 3.1.3图形元件原理图 (8) 3.2主要VHDL源程序 (8) 3.2.1汽车尾灯主控制模块CTRL (8) 3.2.2时钟分频模块SZ (10) 3.2.3右侧尾灯控制模块RC (11) 3.2.4左侧尾灯控制模块LC (13) 3.2.5顶层文件VHDL程序(tp.VHD) (14) 3.3仿真图及块 (16) 3.3.1各模块的仿真波形图 (16) 图3-2汽车尾灯主控制模块CTRL (16) 3.3.2仿真波形分析 (20) 第4章设计总结 (21) 参考文献 (23) II

单片机汽车尾灯课程设计报告

1 设计内容及其分析 1.1 设计的内容 用8个发光二极管模拟8个汽车尾灯(左、右各4个,高电平点亮),用四个开关作为左转弯、右转弯、刹车、双闪控制信号(高电平有效)。当汽车往前行驶时,8灯全灭。当汽车转弯时(左、右转弯开关不会同时有效),若右转弯,右边4个尾灯从左至右循环点亮,左边4个灯全灭。若左转弯,左边4个尾灯从右至左循环点亮,右边4个灯全灭。汽车刹车时(第2优先级),8个灯全亮。双闪信号有效时(优先级最高)时,8个灯明、暗闪烁。 1.2 设计内容分析 当汽车转弯时(左、右转弯开关不会同时有效),若右转弯,右边4个尾灯从左至右循环点亮,左边4个灯全灭。若左转弯,左边4个尾灯从右至左循环点亮,右边4个灯全灭。汽车刹车时(第2优先级),8个灯全亮。双闪信号有效时(优先级最高)时,8个灯明、暗闪烁。 根据以上分析可以画出尾灯和汽车运行关系表如下所示: 1.3 EDA简介 1.3.1 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。 1.3.2 EDA技术的特点 利用EDA技术进行电子系统的设计,具有以下几个特点:①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中

数电课设汽车尾灯控制电路

课程设计课程名称数字电子技术 课题名称汽车尾灯控制电路 专业自动化 班级1591班 学号 姓名黄建龙 指导老师程春红 2017年 03 月 16 日

电气信息学院 课程设计任务书 课题名称汽车尾灯控制电路 姓名黄建龙专业自动化班级1591班学号21 指导老师程春红 课程设计时间 一、任务及要求 任务:假设汽车尾部左右量测各有3个指示灯(用发光二极管模拟)1.汽车正常运行时指示灯全灭;2.右转弯时,右侧3个指示灯按右循环顺序点亮;3.左转弯时,左侧3个指示灯按左循环顺序点亮;4.临时刹车时所有指示灯同时闪烁。 要求:1.设计思路清晰,给出整体设计框图,画出整机原理图;2.给出具体设计思路,设计各单元电路、电路器件;3.总电路设计;4.进行实验仿真调试,验证设计结果;5.编写设计说明书;6.所有图纸和说明书用计算机打印。 二、进度安排 第一周: 周一:课题内容介绍和查找资料; 周二~周三:方案设计,电路仿真,周三下午检查设计方案及仿真结果; 周四~周日:周四上午领元器件;安装、调试电路; 第二周: 周一~周三:安装、调试电路; 周四:验收电路,收元器件,整理实验室,撰写设计报告,打印相关图纸; 周五:答辩,收设计报告。 三、参考资料 1.康华光主编. 电子技术基础(数字部分),高等教育出版社。 2.阎石主编. 电子技术基础(数字部分),清华大学出版社。 3.任为民主编. 电子技术基础课程设计,中央广播电视大学出版社。 4.彭介华主编. 电子技术课程设计指导,高等教育出版社。 5.谢自美主编.《电子线路设计、实验、测试》,华中理工出版社。 目录 一、课程设计的任务要求---------------------------------------3 二、设计方案-------------------------------------------------4

数电课程设计汽车尾灯

数电课程设计汽车 尾灯

课题汽车尾灯控制器 专业电子信息工程 班级电子Z121班 姓名袁涛 201202203031 2016年5月20日

目录 第1章概述 (4) 第2章方案论证 (5) 第3章电路设计 (8) 3.1 时钟脉冲电路 (8) 3.2 开关控制电路 (8) 3.3 三进制计数器 (9) 3.4 译码、显示驱动电路 (10) 第5章结论 (13) 第7章课设体会及合理化建议 (15) 参考文献 (16) 附录I 总电路图 (18) 附录II 元器件清单 (19)

第1章概述 汽车尾灯控制电路是很常见的工作电路,在日常的生活中有着很广泛的应用。汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车四种情况,针对这四种情况能够设计出汽车尾灯的控制电路来表示这四种状态。 设计一个汽车尾灯的控制电路,技术指标如下: (1)假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟);(2)汽车正常运行时指示灯全灭; (3)汽车左转弯时,左侧三个指示灯按左循环顺序点亮; (4)汽车右转弯时,右侧三个指示灯按右循环顺序点亮; (5)汽车刹车时,所有指示灯同时闪烁。

第2章方案论证 方案一: 汽车尾灯控制电路主要由D触发器逻辑电路,左、右转控制电路、刹车控制电路构成。首先将脉冲信号CLK提供给D触发器逻辑电路。用三片D触发器设计一个逻辑电路能够产生001、010、100的循环信号。将此信号作为左转、右转的原始信号。设置左、右转控制开关。经过开关的控制将左转、右转的原始信号经过逻辑电路分别输出到左、右的三个汽车尾灯上。这部分电路起电路分拣的作用。设置刹车控制开关将脉冲信号CLK提供给刹车控制电路。当开关置为刹车信号时,分拣之后的信号经过逻辑电路实现刹车时所有指示灯随着时钟信号CLK全部闪烁的功能。最终得到的信号即可输出到发光二极管上,实现所需功能。 方案一原理框图如图1所示。

汽车尾灯报告

14121438 舒圣俊 课程题目: 为以下功能的交车尾灯设计控制电路。某轿车车尾灯有左右两组,每组由三个灯构成。当左转时,右组灯不亮,左边一组灯依次亮起,然后全熄,如此循环。当右转时,左组灯不亮,右边一组灯依次亮起,让后全熄,如此循环。当轿车直线行驶时,尾灯全灭。 1.课程的目的: 1.了解熟悉芯片的使用; 2.了解时序逻辑电路和组合逻辑电路的分析方法; 3.了解和掌握逻辑电路的设计方法; 4.掌握基于数字逻辑集成电路的汽车尾灯控制器的设计方法和数字电子线路系统的运用和测试。 2.课程设计分析: 实验要求实现直行、左转、右转这三种状态下汽车尾灯的显示情况。我们可以用六个LED 显示灯来模拟汽车的尾灯,左边三个,右边三个。当汽车直时,两侧的LED 灯全部熄灭;转向时汽车对应一侧的灯依次点亮,如此循环。完成这些要求,我们可以设计2个开关来模拟转向开关。其中,a 控制汽车尾灯的左转,b 控制右转。当所有开关为低电平时,表示汽车直行;当有一个转向灯开关为高电平时,汽车相对应一侧的灯依次点亮循环。这里,我们需要三大部件:第一,尾灯电路,控制汽车的全灭、左侧依次点亮和右侧依次点亮这三种不同的状态;第二,计数器电路,实现灯亮的几种状态的来源;第三,开关控制电路,把a,b 通过组合逻辑电路来实现对尾灯电路的整体控制。 3.设计方案简介: 本次设计方案主要有三个模块:开关控制电路、四进制计数器电路和尾灯译码驱动电路。通过把这三个模块组合连接来实现汽车尾灯控制。首先,利用4位2进制计数器74193芯片构成四进制计数器,来产生00,01,10,11这四种循环的序列,此信号提供转向灯时车灯循环点亮的初始信号来源。其次,利用逻辑电路将其输出;最后,通过两个开关a,b 来设计一个组合逻辑电路,控制汽车尾灯电路,完成开关控制电路的设计。这几步得到的信号可以输出到发光二极管上,实现所需功能。由于实际情况,我们只能通过quarters 软件生成波形图来验证。 第一部分:开关控制电路: 开关a 开关b 汽车运行状态 左尾灯状态 右尾灯状态 0 0 直行 灭 灭 0 1 右转 灭 循环亮 1 0 左转 循环亮 灭 1 1 违例 灭 灭 开关控制真值表: 左a 右b C r1 C r2 ABCD 1 ABCD 2 1LD 2LD 0 0 1 1 d d d d 0 1 1 d d d d

数电课程设计汽车尾灯

数电课程设计-汽车尾灯 课题汽车尾灯控制器 专业电子信息工程 班级电子Z121班 姓名袁 2016年5月20日 目录 第1章概述....................................................... 第2章方案论证................................................... 第3章电路设计................................................... 时钟脉冲电路...................................................... 开关控制电路...................................................... 三进制计数器......................................................

译码、显示驱动电路................................................ 第5章结论....................................................... 第7章课设体会及合理化建议....................................... 参考文献........................................................... 附录I 总电路图.................................................... 附录II 元器件清单................................................. 第1章概述 汽车尾灯控制电路是很常见的工作电路,在日常的生活中有着很广泛的应用。汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车四种情况,针对这四种情况可以设计出汽车尾灯的控制电路来表示这四种状态。 设计一个汽车尾灯的控制电路,技术指标如下: (1)假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟); (2)汽车正常运行时指示灯全灭; (3)汽车左转弯时,左侧三个指示灯按左循环顺序点亮; (4)汽车右转弯时,右侧三个指示灯按右循环顺序点亮; (5)汽车刹车时,所有指示灯同时闪烁。 第2章方案论证 方案一: 汽车尾灯控制电路主要由D触发器逻辑电路,左、右转控制电路、刹车控制电路构成。首先将脉冲信号CLK提供给D触发器逻辑电路。用三片D触发器设计一个逻辑电路可以产生001、010、100的循环信号。将此信号作为左转、右转的原始信号。设置左、右转控制开关。通过开关的控制将左转、右转的原始信号通过逻辑电路分别输出到左、右的三个汽车尾灯上。这部分电路起电路分拣的作用。设置刹车控制开关将脉冲信号CLK提供给刹车控制电路。当开关置为刹车信号时,分拣之后的信号通过逻辑电路实现刹车时所有指示灯随着时

数电课程设计汽车尾灯

数电课程设计汽车尾灯公司内部档案编码:[OPPTR-OPPT28-OPPTL98-OPPNN08]

数电课程设计-汽车尾灯 课题汽车尾灯控制器 专业电子信息工程 班级电子Z121班 姓名袁 2016年5月20日 目录 第1章概述....................................................... 第2章方案论证................................................... 第3章电路设计................................................... 时钟脉冲电路...................................................... 开关控制电路...................................................... 三进制计数器......................................................

译码、显示驱动电路................................................ 第5章结论....................................................... 第7章课设体会及合理化建议....................................... 参考文献........................................................... 附录I 总电路图.................................................... 附录II 元器件清单................................................. 第1章概述 汽车尾灯控制电路是很常见的工作电路,在日常的生活中有着很广泛的应用。汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车四种情况,针对这四种情况可以设计出汽车尾灯的控制电路来表示这四种状态。 设计一个汽车尾灯的控制电路,技术指标如下: (1)假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟); (2)汽车正常运行时指示灯全灭; (3)汽车左转弯时,左侧三个指示灯按左循环顺序点亮; (4)汽车右转弯时,右侧三个指示灯按右循环顺序点亮; (5)汽车刹车时,所有指示灯同时闪烁。 第2章方案论证 方案一: 汽车尾灯控制电路主要由D触发器逻辑电路,左、右转控制电路、刹车控制电路构成。首先将脉冲信号CLK提供给D触发器逻辑电路。用三片D触发器设计一个逻辑电路可以产生001、010、100的循环信号。将此信号作为左转、右转的原始信号。设置左、右转控制开关。通过开关的控制将左转、右转的原始信号通过逻辑电路分别输出到左、右的三个汽车尾灯上。这部分电路起电路分拣的作用。设置刹车控制开关将脉冲信号CLK提供给刹车控制电路。当开关置为刹车信号时,分拣之后的信号通过逻辑电路实现刹车时所有指示灯随着时

相关主题
文本预览
相关文档 最新文档