当前位置:文档之家› 多功能信号发生器的设计

多功能信号发生器的设计

多功能信号发生器的设计
多功能信号发生器的设计

多功能信号发生器的设计

目录

0引言 (2)

1设计意义 (3)

2设计说明 (4)

2.1设计任务 (4)

2.1.1设计要求 (4)

2.1.2 设计目的: (4)

3设计过程 (5)

3.1系统顶层框图 (5)

3.1.1信号发生器结构图 (5)

3.1.2信号发生器的内部构成 (5)

3.1.3系统流程图 (6)

3.2设计步骤 (6)

3.3系统设计 (7)

4代码及仿真结果 (8)

4.1各个模块的实现 (8)

4.2顶层模块 (16)

5小结及体会 (19)

6参考文献 (20)

0 引言

信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。它能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波等,在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的信号发生器。

本设计采用FPGA来设计制作多功能信号发生器。该信号发生器可以产生正弦波、方波、三角波、锯齿波等波形。

本次课设要求设计一个函数信号发生器。它能产生四种波形:正弦波、方波、三角波、锯齿波。同时能在不同的频率下显示。这次设计主要是练习了分频电路的设计,ROM的设计,计数器的设计、选择电路的设计和数码显示的设计。

加强了对when语句,if语句等语句的理解。拓展了对VHDL语言的应用。平时练习与考试都是设计一个简单的电路,本次课设综合了好几个电路的设计。同时我也增强了对分模块设计电路的应用。对我以后的电路设计生涯都是有所帮助的!

函数信号发生器是应用了VHDL语言,通过数模转换来显示波形,实现了数模转换的应用。

在工作中,我们常常会用到信号发生器,它是使用频度很高的电子仪器。信号发生器是指产生所需参数的电测试信号的仪器。按信号波形可分为正弦信号、函数(波形)信号、脉冲信号和随机信号发生器等四大类。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。

信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。凡是产生测试信号的仪器,统称为信号源,也称为信号发生器,它用于产生被测电路所需特定参数的电测试信号。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在电子实验和测试处理中,并不测量任何参数,而是根据使用者的要求,仿真各种测试信号,提供给被测电路,以达到测试的需要。它能够产生多种波形,如三角波、矩形波(含方波)、正弦波等,在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的信号发生器。本设计采用EDA来设计制作多功能信号发生器。该信号发生器可以产生正弦波、方波、三角波、锯齿波等波形。

2.1设计任务

2.1.1设计要求:

基于《VHDL语言》,通过给定的仪器(EDA6000试验箱)设计一个多功能信号发生器,(1)能产生周期性正弦波、方波、三角波、锯齿波以及用户自己编辑的特定波形;

(2)输出信号的频率范围为100Hz~200KHz,且输出频率可以调节;

(3)具有显示输出波形、频率的功能。

2.1.2 设计目的:

1) 掌握使用EDA工具设计信号发生器系统的设计思路和设计方法,体会使用EDA综合过程中电路设计方法和设计思路的不同,理解层次化设计理念。

2) 熟悉在Quartus II环境中,用文本输入方式与原理图输入方式完成电路的设计,同时掌握使用这两种方式相结合的EDA设计思路。

3) 通过这一部分的学习,对VHDL语言的设计方法进行进一步的学习,对其相关语言设计规范进行更深层次的掌握,能够更加熟练的做一些编程设计。

3.1系统顶层框图

3.1.1信号发生器结构图

由于FPGA/CPLD只能直接输出数字信号,而多功能信号发生器输出的各种波形均为模拟信号,因此设计信号发生器时,需将FPGA/CPLD输出的信号通过D/A转换电路将数字信号转换成模拟信号。多功能信号发生器可由信号产生电路,波形选择电路和D/A转换电路构成,如下图所示:

3.1.2信号发生器的内部构成

图1 原理框图

在原理框图中,正(余)弦查找表由ROM构成,内部存有一个完整周期正(余)弦波的数字幅度信息,每个查找表的地址对应正(余)弦波幅度信号,同时输出到数模转换器(DAC)输入端,DAC 输出的模拟信号经过低通滤波器(LPF),可以得到一个频谱纯净的正(余)弦波。

3.1.3系统流程图

当输入端有时钟信号输入时,各个信号发生器模块独立运行,独立存在,发出各种信号,这些信号作为数据选择器的输入信号,在数据选择器的作用下,波形切换到相应的模块输出,再通过数模转换器(D/A),将通过示波器显示出相应的波形图,其程序流程图如下图所示:

3.2设计步骤

用VHDL语言结合原理图设计实现一个函数信号发生器,输出正弦波、方波和三角波三种波形。将频率控制、分频、三角波、正弦波、方波发生邓各个模块分别用VHDL语言编程为一个子程序,并把每一个模块转换成图形文件,然后在原理图编辑框调用这些图形模块,连接电路如上图系统顶层框图所示。通过按键1到按键8控制频率调节f〔7...0〕,用按键6、按键7、按键8控制dlt、sin、sqr

波形选通,最后把八位输出接DAC0832通过D/A 转换,从示波器上就能看到波形输出。按下不同的按键输出不同的波形及频率。3.3系统设计

(1)数控分频器模块

在时钟的作用下,通过预置分频数DIN ,来改变输出频率。假如分频系数为N ,波形存储模块存储一个周期的波形,实验里按照一个周期波形采样64个点存储在波形存储模块里。则输出频率N f f clk

out .64=

(2)数据存储模块 (存储波形数据)

数据存储模块主要存的是正弦波、三角波、锯齿波等一个周期的采样点。 三角波模块可设计一个可逆计数器实现,设计时设置一变量作为工作状态标志,在此变量为0时,当检测到时钟的上升沿进行加同一个数操作;为1时,进行减同一个数操作。DA 转换采用的DA0832,输入有8个数据端,范围是0到255;而且设置64个时钟周期为一个三角波周期,所有每次加、减为1。锯齿波的存储数据与三角波类似。

方波可以通过交替输出全0和全1,并给以32个周期的延时来实现。

正弦波可以通过波形变换实现把a sin 变换成5.127*)1(cos +a 的形式进行采样,然后变换成8位二进制码,存储在波形存储器里。

(3)数据选择器模块

在波形开关的控制下,选择相应的波形输出。可以用3个按键来控制波形选择

4 代码及仿真结果4.1各个模块的实现

4.1.1数控分频器的实现

其VHDL代码如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity fenpin is

port(d_mode:in std_logic_vector(3 downto 0); clk:in std_logic;

d_out:out std_logic);

end fenpin;

architecture behav of fenpin is

signal full:std_logic;

begin

p_reg: process(clk)

variable cnt8:std_logic_vector(3 downto 0); begin

if clk'event and clk='1' then

if cnt8="1111" then

cnt8:=d_mode;

full<='1';

else cnt8:=cnt8+1;

full<='0';

end if; end if;

end process p_reg;

p_div:process(full)

variable cnt2:std_logic;

begin

if full'event and full='1' then

cnt2:=not cnt2;

if cnt2='1' then d_out<='1' ;

else d_out<='0';

end if;

end if;

end process p_div;

end behav;

频率为1MHz的分频波形图:

其生成元器件如图2所示:

图2 数控分频器器件生成图

4.1.2方波的实现

产生方波,是通过交替送出全0和全1实现,每32个时钟翻转一次。其VHDL代码如下:library ieee;

use ieee.std_logic_1164.all;

entity square is

port(clk,clr:in std_logic;

q:out integer range 0 to 255);

end square;

architecture one of square is

signal a:bit:='0';

begin

process(clk,clr)

variable cnt:integer range 0 to 31;

begin

if clr='0' then

a<='0';

elsif clk'event and clk='1' then

if cnt<31 then

cnt:=cnt+1;

else

cnt:=0;

a<=not a;

end if;

end if;

end process;

process(clk,a)

begin

if clk'event and clk='1' then

if a='1' then

q<=255;

else

q<=0;

end if;

end if;

end process;

end one;

其仿真波形如图3所示:

图3 方

波仿真图

其生成元器件如图4所示:

图4 方波元器件生成图

4.1.3三角波的实现

该模块产生的三角波以64个时钟为一个周期,输出q每次加减8。其VHDL代码如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity delta is

port(clk,reset:in std_logic;

q:out std_logic_vector(7 downto 0));

end delta;

architecture delta_arc of delta is

begin

process(clk,reset)

variable tmp:std_logic_vector(7 downto 0); variable a:std_logic;

begin

if reset='0' then

tmp:="00000000";

elsif clk'event and clk='1' then

if a='0' then

if tmp="11111110" then

tmp:="11111111";

a:='1';

else

tmp:=tmp+'1';

end if;

else

if tmp="00000001" then

tmp:="00000000";

a:='0';

else

tmp:=tmp-'1';

end if;

end if;

end if;

q<=tmp;

end process;

end delta_arc;

其仿真波形如图5所示:

图5 三角波仿真图

其生成元器件如图6所示:

图6 三角波元器件生成图

4.1.4正弦波的实现

该模块产生以64个时钟为一个周期的正弦波。其VHDL代码如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity sin is

port(clk,clr:in std_logic;

d:out integer range 0 to 255);

end sin;

architecture sin_arc of sin is

begin

process(clk,clr)

variable tmp:integer range 0 to 63;

begin

if clr='0' then d<=0;

elsif clk'event and clk='1' then

if tmp=63 then

tmp:=0;

else

tmp:=tmp+1;

end if;

case tmp is

when 00=>d<=255; when 01=>d<=254; when 02=>d<=252;

when 03=>d<=249; when 04=>d<=245; when 05=>d<=239;

when 06=>d<=233; when 07=>d<=225; when 08=>d<=217;

when 09=>d<=207; when 10=>d<=197; when 11=>d<=186;

when 12=>d<=174; when 13=>d<=162; when 14=>d<=150;

when 15=>d<=137; when 16=>d<=124; when 17=>d<=112;

when 18=>d<=99; when 19=>d<=87; when 20=>d<=75;

when 21=>d<=64; when 22=>d<=53; when 23=>d<=43;

when 24=>d<=34; when 25=>d<=26; when 26=>d<=19;

when 27=>d<=13; when 28=>d<=8; when 29=>d<=4;

when 30=>d<=1; when 31=>d<=0; when 32=>d<=0;

when 33=>d<=1; when 34=>d<=4; when 35=>d<=8;

when 36=>d<=13; when 37=>d<=19; when 38=>d<=26;

when 39=>d<=34; when 40=>d<=43; when 41=>d<=53;

when 42=>d<=64; when 43=>d<=75; when 44=>d<=87;

when 45=>d<=99; when 46=>d<=112; when 47=>d<=124;

when 48=>d<=137; when 49=>d<=150; when 50=>d<=162;

when 51=>d<=174; when 52=>d<=186; when 53=>d<=197;

when 54=>d<=207; when 55=>d<=217; when 56=>d<=225;

when 57=>d<=233; when 58=>d<=239; when 59=>d<=245;

when 60=>d<=249; when 61=>d<=252; when 62=>d<=254;

when 63=>d<=255;

when others=>null;

end case;

end if;

end process;

end sin_arc;

其仿真波形如图7所示:

图7 正弦波仿真图

其生成元器件如图8所示:

图8 正弦波元器件生成图

4.1.5锯齿波的实现

改变该模块递增的常数,可以改变锯齿的个数。其VHDL代码如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity ladder is

port(clk,reset:in std_logic;

q:out std_logic_vector(7 downto 0));

end ladder;

architecture ladder_arc of ladder is

begin

process(clk,reset)

variable tmp:std_logic_vector(7 downto 0);

begin

if reset='0' then

tmp:="00000000";

elsif clk'event and clk='1' then

if tmp="11111111" then

tmp:="00000000";

else tmp:=tmp+16; --锯齿常数为16,可修改

end if;

end if;

q<=tmp;

end process;

end ladder_arc;

其仿真波形如图9所示:

9 锯齿波仿真图

其生成元器件如图10所示:

图10 锯齿波元器件生成图

4.1.6四选一输出波形选择模块的实现

根据外部的开关状态可以选择输出的波形。其VHDL代码如下:library ieee;

use ieee.std_logic_1164.all;

entity select4_1 is

port(sel:in std_logic_vector(1 downto 0);

d0,d1,d2,d3:in std_logic_vector(7 downto 0); q:out std_logic_vector(7 downto 0));

end select4_1;

architecture one of select4_1 is

begin

process(sel)

begin

case sel is

when "00"=>q<=d0;

when "01"=>q<=d1;

when "10"=>q<=d2;

when "11"=>q<=d3;

end case;

end process;

end one;

其波形仿真如图11所示:

图11 四选一信号选择仿真图其生成元器件如图12所示:

图12 4选1信号选择元器件生成图

4.2顶层模块

4.2.1代码实现

VHDL代码如下,利用元件例化实现:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity li is

port(d_mode:in std_logic_vector(3 downto 0);

q:out std_logic_vector(7 downto 0);

clk:in std_logic;

resel:out std_logic);

end li;

architecture behav of li is

component fenpin is

port(d_mode:in std_logic_vector(3 downto 0);

clk:in std_logic;

d_out:out std_logic);

end component fenpin;

component square is

port(clk,clr:in std_logic;

q:out integer range 0 to 255);

end component square;

component delta is

port(clk,reset:in std_logic;

q:out std_logic_vector(7 downto 0));

end component delta;

component sin is

port(clk,clr:in std_logic;

d:out integer range 0 to 255);

end component sin;

component ladder is

port(clk,reset:in std_logic;

q:out std_logic_vector(7 downto 0));

end component ladder;

component select4_1 is

port(sel:in std_logic_vector(1 downto 0);

d0,d1,d2,d3:in std_logic_vector(7 downto 0); q:out std_logic_vector(7 downto 0));

end component select4_1;

signal square :std_logic;

signal delta :std_logic;

signal sin :std_logic;

signal ladder :std_logic;

signal d0 :std_logic_vector(7 downto 0);

signal d1 :std_logic_vector(7 downto 0);

signal d2 :std_logic_vector(7 downto 0);

signal d3 :std_logic_vector(7 downto 0);

begin

wen1:fenpin port map(d_mode=>d_mode,clk=>clk, d_out=>square,d_out=>

delta, d_out=> sin, d_out=> ladder);

wen2: square port map(clr=>resel,clk=> square, q=> d0);

wen3: delta port map(resel =>resel,clk=> delta, q=> d1);

wen4: sin port map(clr=>resel,clk=> sin, q=> d2);

wen5: ladder port map(resel =>resel,clk=> ladder, q=> d3);

wen6: select4_1 port map(sel => sel, d0=> d0, d1=> d1, d2=> d2,d3=>d3,

q=>q);

end behav;

4.2.2生成整体RTL:

新建一工程,加载上述模块,利用顶层模块法生成整体多波形信号发生器。整体RTL图如图13所示:

图13 整体多波形信号发生器RTL图

4.2.3整体仿真图

整体多波形信号发生器仿真如图14所示:

图14 整体多波形信号发生器仿真图

其中,d_mode【3..0】为数控分频输入端,接四个开关用来产生预制分频数,分频后得到不同频率的脉冲,sel【1..0】为数据选择器的选择输入端,接两个开关,输入不同数据,选择四种波形中的一种输出,clk是原始脉冲输入端,reset为复位端,接一按键,按下时产生复位,回到初始状态;q【7..0】是数据选择器的输出端,输出被选中的波形送至DA转换器。

5 小结及体会

通过这次课程设计,我全面熟悉、掌握VHDL语言的基本知识,掌握利用VHDL语言对信号发生器的编程和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。同时通过此次实验,使我对Quartus II软件的使用有了更深刻的了解。

在设计的过程中,也遇到了很多问题,在同学和指导老师的帮助下,都一一得到了解决。从选题到定稿,从了解到熟悉,用了大概一个星期的时间,在这段时间内,苦恼、思考、喜悦、收获,感受颇多。当然此次设计也体现出我平时学习中有许多不足和欠缺之处,此后需通过学习来查漏补缺。

6 参考文献

[1]李翠华. 信号发生器的设计[J]. 科技广场, 2009

[2]申彦春, 王欢, 梁延贵. 基于FPGA的信号发生器的设计[J]. 唐山学院学报, 2008

[3]刘皖, 何道军, 谭明. FPGA设计与应用[M]. 北京清华大学出版社, 2006

[4]赵雅兴. FPGA原理设计与应用[M]. 天津大学出版社, 1999

[5]余勇, 郑小林.基于FPGA的DDS正弦信号发生器的设计与实现[J].电子器件, 2005

[6]田耘,徐文波. Xilinx FPGA开发实用教程[M]. 北京: 清华大学出版社, 2008

多功能信号发生器设计报告.doc

重庆大学城市科技学院电气学院EDA课程设计报告 题目:多功能信号发生器 专业:电子信息工程 班级:2006级03班 小组:第12组 学号及姓名:20060075蒋春 20060071冯志磊 20060070冯浩真 指导教师:戴琦琦 设计日期:2009-6-19

多功能信号发生器设计报告 一、设计题目 运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。 二、课题分析 (1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。 (2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。 (3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。同时为了方便观察,应再引出个未经调幅的信号作为对比。 三、设计的具体实现 1、系统概述 系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。 2、单元电路设计与分析 外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。 (1)分频器(DVF) 分频器(DVF)的RTL截图

多功能信号发生器的设计与实现

题目多功能信号发生器的设计与实现学生姓名王振华学号 1213014069所在学院物理与电信工程学院 专业班级电子信息工程 指导教师梁芳 完成地点物理与电信工程学院实验室 2016 年 6 月 2 日

多功能信号发生器的设计与实现 王振华 (陕西理工学院物理与电信工程学院电子信息工程专业,2012级3班,陕西汉中 723000) 指导教师:梁芳 [摘要]本文介绍的是利用STC12C5A60S2单片机和数模转换器件DAC0832产生所需不同信号的低频信号源,其信号幅度和频率都是可以按要求控制的。文中简要介绍了DAC0832数模转换器的结构原理和使用方法,STC12C5A60S2的基础理论,以及与设计电路有关的各种芯片。着重介绍了如何利用单片机控制D/A转换器产生上述信号的硬件电路和软件编程。信号频率幅度也按要求可调。本设计核心任务是:以STC12C5A60S2为核心,结合D/A转换器和DAC0832等器件,用仿真软件设计硬件电路,用C语言编写驱动程序,以实现程序控制产生正弦波、三角波、方波、三种常用低频信号。可以通过键盘选择波形和输入任意频率值。 [关键词]单片机; LCD1602;信号发生器;DAC0832

Design and implementation of multi function signal generator Author:Zhenhua Wang (Grade 12,Class 03,Major in Electronics & Information engineering ,Physics & Telecommunications engineering Dept., Shaanxi University of Technology,Hanzhong 723000,Shaanxi) Tutor: Fang Liang Abstract:This article describes the STC12C5A60S2 microcontroller and digital to analog converter DAC0832 to produce the desired signal of the low frequency signal source, the signal amplitude and frequency can be controlled as required. The article briefly describes the structure of principles and use of the DAC0832 digital-to-analog converter, the STC12C5A60S2 basic theory and design of circuits a variety of chips. The paper focuses on how to use microcontroller to control the D / A converter to produce the hardware and software programming of the above signals. The signal frequency range is also adjustable as required.The core of the design tasks are: STC12C5A60S2 as the D / A converter and DAC0832 devices, circuit simulation software, design hardware drivers written in C, in order to achieve process control to produce sine wave, triangle wave, square wave, three commonly used low-frequency signals. Waveforms and enter any frequency value can be selected via the keyboard. Key Words:on STC12C5A60S2 function waveform generator DAC0832 square wave, triangle wave, sine wave,sawtooth wave

函数信号发生器课程设计报告书

信号发生器 一、设计目的 1.进一步掌握模拟电子技术的理论知识,培养工程设计能力 和综合分析问题、解决问题的能力。 2.基本掌握常用电子电路的一般设计方法,提高电子电路的 设计和实验能力。 3.学会运用Multisim10仿真软件对所作出的理论设计进行 仿真测试,并能进一步完善设计。 4.掌握常用元器件的识别和测试,熟悉常用仪表,了解电路 调试的基本方法。 二、设计容与要求 1.设计、组装、调试函数信号发生器 2.输出波形:正弦波、三角波、方波 3.频率围:10Hz-10KHz围可调 4.输出电压:方波V PP<20V, 三角波V PP=6V, 正弦波V PP>1V 三、设计方案仿真结果 1.正弦波—矩形波—三角波电路 原理图:

首先产生正弦波,再由过零比较器产生方波,最后由积分电路产生三角波。正弦波通过RC串并联振荡电路(文氏桥振荡电路)产生,利用集成运放工作在非线性区的特点,由最简单的过零比较器将正弦波转换为方波,然后将方波经过积分运算变换成三角波。 正弦—矩形波—三角波产生电路: 总电路中,R5用来使电路起振;R1和R7用来调节振荡的频率,R6、R9、R8分别用来调节正弦波、方波、三角波的幅值。左边第一个运放与RC串并联电路产生正弦波,中间部分为过零比较器,用来输出方波,最好一个运放与电容组成积分电路,用来输出三角波。

仿真波形: 调频和调幅原理 调频原理:根据RC 振荡电路的频率计算公式 RC f o π21 = 可知,只需改变R 或C 的值即可,本方案中采用两个可变电阻R1和R7同时调节来改变频率。 调幅原理:本方案选用了最简单有效的电阻分压的方式调幅,在输出端通过电阻接地,输出信号的幅值取决于电阻分得的电压多少。其最大幅值为电路的输出电压峰值,最小值为0。 RC 串并联网络的频率特性可以表示为 ) 1(311112 1 2 RC RC j RC j R C j R RC j R f Z Z Z U U F ωωωωω-+=++++=+= = ? ? ? 令,1 RC o =ω则上式可简化为) ( 31 ω ωωωO O j F -+ = ? ,以上频率特性可 分别用幅频特性和相频特性的表达式表示如下:

EDA课程设计——函数信号发生器

EDA课程设计——函数信号发生器 实验报告 学院(系) 专业、班级 学生姓名 学号 小组其他队员: 指导教师

(1)实验要求 (2)总体设计思路 (3)程序仿真 (4)实验结果 (5)心得体会 一.实验要求 (1)利用VHDL语言设计一个多功能信号发生器,可以产生正弦波,三角波,锯齿波和方波的数字信号。

(2)焊接一个D/A转换器,对输出的数字信号转换成模拟信号并在示波器上产生波形。 (3)在电路板上可以对波形进行选择输出。 (4)在电路板上可以对波形的频率与幅度进行调节。 二.总体设计思路 信号发生器主要由分频,波形数据的产生,四选一多路选择,调幅和D/A转换五个部分组成。 总体框架图如下: (1)分频 分频器是数字电路中最常用的电路之一,在FPGA的设计中也是使用效率非常高的基本设计。实现的分频电路一般有两种方法:一是使用FPGA芯片内部提供的锁相环电路,如ALTERA提供的PLL(Phase Locked Loop),Xilinx提供的DLL(Delay Locked Loop);二是使用硬件描述语言,如

VHDL、Verilog HDL等。本次我们使用VHDL进行分频器设计,将奇数分频,和偶数分频结合起来,可以实现50%占空比任意正整数的分频。 分频器原理图: 在我们本次试验中的实现即为当按下按键时,频率自动减半。如当输入为100MHZ,输出为50MHZ。 (2)信号的产生。 根据查找资料,我们最终确定了在QUARTUS中波形数据产生的方法,即利用地址信号发生器和LPM_ROM模块。ROM 的地址信号发生器,有七位计数器担任。LPM_ROM底层是FPGA 中的M4K等模块。然后在VHDL顶层程序设计中将两部分调用从而实现信号的发生。ROM中存放不同的初始化MIF文件(存放不同波形的数据)从而产生不同的波形。 信号产生模块:

函数信号发生器设计报告

函数信号发生器设计报告 一、 设计要求 设计制作能产生正弦波、方波、三角波等多种波形信号输出的波形发生器,具体要求: (1) 输出波形工作频率范围为2HZ ~200KHZ ,且连续可调; (2) 输出频率分五档:低频档:2HZ ~20HZ ;中低频档:20HZ ~200HZ ; 中频档:200HZ ~2KHZ ;中高频档:2KHZ ~20KHZ ;高频档:20KHZ ~200KHZ 。 (3) 输出带LED 指示。 二、 设计的作用、目的 1. 掌握函数信号发生器工作原理。 2. 熟悉集成运放的使用。 3. 熟悉Multisim 软件。 三、 设计的具体实现 3.1函数发生器总方案 采用分立元件,设计出能够产生正弦波、方波、三角波信号的各个单元电路,利用Multisim 仿真软件模拟,调试各个参数,完成单元电路的调试后连接起来,在正弦波产生电路中加入开关控制,选择不同档位的元件,达到输出频率可调的目的。 总原理图:

3.2单元电路设计、仿真 Ⅰ、RC桥式正弦波振荡电路 图1:正弦波发生电路 正弦波振荡器是在只有直流供电、不加外加输入信号的条件下产生正弦波信号的电路。 正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路、反馈网络、选频网络、稳幅电路四个部分。根据选频电路回路的不同,正弦波振荡器可分为RC正弦波振荡器、LC正弦波振荡器和石英晶体振荡器。其中,RC正弦波振荡器主要用于产生中低频正弦波,振荡频率一般小于1MHz,满足本次设计要求,故选用RC 正弦波振荡器。

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

多功能信号发生器

电子技术课程设计题目:多功能信号发生器 院系:xxxxxxxxx 专业:xxxxxxxxxx 班级:xxxxxxxxxxxxxx 学号:xxxxxxxxxxxxxxxxxxxxxx 姓名: xxxxxxxxxxx 指导老师:xxxxxxxxxx 日期:2012年12月21日

目录 一.课程设计的目的............................................................................... 二.课程设计任务书............................................................................... 三.时间进度安排.................................................................................... 1. 方案选择及电路工作原理........................................................... 2. 单元电路设计计算、电路图及软件仿真........................................ 3. 安装、调试并解决遇到的问题....................................................... 4. 电路性能指标测试............................................................................ 5. 写出课程设计报告书........................................................................ 四.总体方案............................................................................................ 五.电路设计............................................................................................ 1.8038原理和LM318的原理.............................................................. 2.性能、特点及引脚............................................................................ 3.电路设计的原理............................................................................. 4.振动频率及参数计算........................................................................ 六.电路调试............................................................................................ 七.收获和体会.......................................................................................

简易信号发生器的设计实现

EDA课程设计简易信号发生器的设计实现 小组成员:XXXXXX XXXXX 专业:XXXXX 学院:机电与信息工程学院指导老师:XXXXXX 完成日期:XX年XX月XX日

目录 引言 (3) 一、课程设计内容及要求 (3) 1、设计内容 (3) 2、设计要求 (3) 二、设计方案及原理 (3) 1、设计原理 (3) 2、设计方案 (4) (1)设计思想 (4) (2)设计方案 (4) 3、系统设计 (5) (1)正弦波产生模块 (5) (2)三角波产生模块 (6) (3)锯齿波产生模块 (6) (4)方波产生模块 (6) (5)波形选择模块 (6) (6)频率控制模块 (6) (7)幅度控制模块 (6) (8)顶层设计模块 (7) 三、仿真结果分析 (7) 波形仿真结果 (7) 1、正弦波仿真结果 (7) 2、三角波仿真结果 (8) 3、锯齿波仿真结果 (8) 4、方波仿真结果 (8) 5、波形选择仿真结果 (9) 6、频率控制仿真结果 (9) 四、总结与体会 (10) 五、参考文献 (10) 六、附录 (11)

简易信号发生器 引言 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广范的应用。它能够产生多种波形,如正弦波、三角波、方波、锯齿波等,在电路实验和设备检验中有着十分广范的应用。 本次课程设计采用FPGA来设计多功能信号发生器。 一、课程设计内容及要求 1、设计内容 设计一个多功能简易信号发生器 2、设计要求 (1)完成电路板上DAC的匹配电阻选择、焊接与调试,确保其能够正常工作。 (2)根据直接数字频率合成(DDFS)原理设计正弦信号发生器,频率步进1Hz,最高输出频率不限,在波形不产生失真(从输出1KHz正弦转换为输出最高频率正弦时,幅度衰减不得大于10%)的情况下越高越好。频率字可以由串口设定,也可以由按键控制,数码管上显示频率傎。 (3)可以控制改变输出波形类型,在正弦波、三角波、锯齿波、方波之间切换。 (4)输出波形幅度可调,最小幅度步进为100mV。 二、设计方案及原理 1、设计原理 (1)简易信号发生器原理图如下

基于51单片机的信号发生器设计报告

基于51单片机的信号发生器设计报告 二零一四年十二月十一日

摘要 根据题目要求以及结合实际情况,本文采用一种以AT89C51单片机为核心所构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。本设计经过测试,性能和各项指标基本满足题目要求。 关键词:信号发生器 DAC0832芯片 LM358运放 89C51芯片

目录 摘要...................................................................... 目录...................................................................... 第一章绪论................................................................. 1.1单片机概述........................................................... 1.2信号发生器的概述和分类.............................................. 1.3问题重述及要求....................................................... 第二章方案的设计与选择................................................... 2.1方案的比较........................................................... 2.2设计原理 ............................................................. 2.3设计思想 ............................................................. 2.4实际功能 ............................................................. 第三章硬件设计............................................................ 3.1硬件原理框图......................................................... 3.2主控电路 ............................................................. 3.3数、模转换电路....................................................... 3.4按键接口电路......................................................... 3.5时钟电路 ............................................................. 3.6显示电路 ............................................................. 第四章软件设计............................................................ 4.1程序流程图........................................................... 参考文献.................................................................... 附录1 电路原理图 .......................................................... 附录2 源程序............................................................... 附录3 器件清单......................................................

多功能信号发生器课程设计

《电子技术课程设计》 题目:多功能信号发生器 院系:电子信息工程 专业:xxxxxxxx 班级:xxxxxx 学号:xxxxxxxx 姓名:xxx 指导教师:xxx 时间:xxxx-xx-xx

电子电路设计 ——多功能信号发生器目录 一..课程设计的目的 二课程设计任务书(包括技术指标要求) 三时间进度安排(10周~15周) a.方案选择及电路工作原理; b.单元电路设计计算、电路图及软件仿真; c.安装、调试并解决遇到的问题; d.电路性能指标测试; e.写出课程设计报告书; 四、总体方案 五、电路设计 (1)8038原理, LM318原理, (2)性能\特点及引脚 (3)电路设计,要说明原理 (4)振动频率及参数计算 六电路调试 要详细说明(电源连接情况, 怎样通电\ 先调试后调试,频率调试幅度调试波行不稳调试 七收获和体会

一、课程设计的目的 通过对多功能信号发生器的电路设计,掌握信号发生器的设计方法和测试技术,了解了8038的工作原理和应用,其内部组成原理,设计并制作信号发生器能够提高自己的动手能力,积累一定的操作经验。在对电路焊接的途中,对一些问题的解决能够提高自己操作能力随着集成制造技术的不断发展,多功能信号发射器已经被制作成专用的集成电路。这种集成电路适用方便,调试简单,性能稳定,不仅能产生正弦波,还可以同时产生三角波和方波。它只需要外接很少的几个元件就能实现一个多种波、波形输出的信号发生器。不仅如此,它在工作时产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%,输出频率范围为0.01Hz~300kHz;方波的输出电压幅度为零到外接电源电压。因此,多功能信号发生器制作的集成电路收到了广泛的应用。 二、课程设计任务书(包括技术指标要求) 任务:设计一个能产生正弦波、方波、三角波以及单脉冲信号发生器。 要求: 1.输出频率为f=20Hz~5kHz的连续可调正弦波、方波和三角波。 2.输出幅度为5V的单脉冲信号。 3.输出正弦波幅度V o= 0~5V可调,波形的非线性失真系数γ≤

锯齿波信号发生器课程设计报告

锯齿波信号发生器的设计 技术指标要求: 频率f=500Hz ,V p-p =10V 。 该课题的内容: (一)原理结构说明 一、滞回比较器 在单限比较器中,输入电压在阈值电压附近的任何微小变化,R 都将引起输出电压的跃变,不管这种微小变化是来源于输入信号还是外部干扰。因此,虽然单限比较器很灵敏,但是抗干扰能力差。滞回比较器具有滞回特性,即具有惯性,因此也就具有一定抗干扰能力。从反相输入端输入的滞回比较器电路如图(a)所示,滞回比较器电路中引入了正反馈。 (b)电压传输特性 从集成运放输出端的限幅电路可以看出,uo =±U Z 。集成运放反相输入端电位u N =u I ,同相输入端电位 根据“虚短”u N =u P ,求出的u I 就是阈值电压,因此得出 U Z U Z R 1+R 2 u P = R 1 U Z ±U T = ± R 1

当u I<-U T,u N+U T,uo=-U Z。 当u I>+U T,u N>u P,因而uo=-U Z,所以u P=-U T。u I<-U T,uo=+U Z。 可见,uo从+U Z跃变为-U Z和uo从-U Z跃变为+U Z的阈值电压是不同的,电压传输特性如图(b)所示。 在我们所设计的锯齿波发生器中,滞回比较器由运放U1和电阻 Rb,R1,R4所组成。 通过由稳压管D1,D2和限流电阻R3构成的输出限幅电路,从而输出方波波 形。 其中调节电阻Rb,R1可改变锯齿波的幅值和一定范围的频率。调节滞回 比较器的稳幅输出D1,D2值,可调整方波输出幅值,可改变积分时间,从 而在一定范围内改变锯齿波的频率。 二、积分电路 如图所示的积分运算电路中,由于集成运放的同相输入端通过R’接 地,u N=u P=0,为“虚地”。 电路中电容C的电流等于流过电 阻R的电流 输出电压与电容上电压的关系为 u o=-u c 而电容上电压等于其电流的积分,故

多功能信号发生器课程设计

课题:多功能信号发生器专业:电子信息工程 班级:1班 学号: 姓名: 指导教师:汪鑫 设计日期: 成绩: 重庆大学城市科技学院电气学院

多功能信号发生器设计报告 一、设计目的作用 1.掌握简易信号发生器的设计、组装与调试方法。 2.能熟练使用multisim10电路仿真软件对电路进行设计仿真调试。 3.加深对模拟电子技术相关知识的理解及应用。 二、设计要求 1.设计任务 设计一个能够输出正弦波、方波、三角波三种波形的信号发生器,性能要求如下: (1)输出频率,f=20Hz-5kHz 连续可调的正弦波、方波、三角波; (2)输出正弦波幅度V=0-5V可调,波形的非线性失真系数<=5%; (3)输出三角波幅度V=0-5V可调。 (4)输出方波幅度可在V=0-12V之间可调。 2.设计要求 (1)设计电路,计算电路元件参数,拟定测试方案和步骤; (2)测量技术指标参数; (3)写出设计报告。 三、设计的具体实现 1、系统概述 1.1正弦波发生电路的工作原理: 产生正弦振荡的条件: 正弦波产生电路的目的就是使电路产生一定频率和幅度的正弦波,我们一般在放大电路中引入正反馈,并创造条件,使其产生稳定可靠的振荡。正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路;反馈网络;选频网络;稳幅电路个部分。 正弦波振荡电路的组成判断及分类: (1)放大电路:保证电路能够有从起振到动态平衡的过程,电路获得一定幅值的输出值,实现自由控制。 (2)选频网络:确定电路的振荡频率,是电路产生单一频率的振荡,即保证电路产生正弦波振荡。 (3)正反馈网络:引入正反馈,使放大电路的输入信号等于其反馈信号。(4)稳幅环节:也就是非线性环节,作用是输出信号幅值稳定。 判断电路是否振荡。方法是: (1)是否满足相位条件,即电路是否是正反馈,只有满足相位条件才可能产

简易信号发生器单片机课程设计报告

课程设计(论文)任务书 电气学院电力系统及其自动化专业12(1 )班 一、课程设计(论文)题目:简易信号发生器设计 二、课程设计(论文)工作自 2015年1 月12 日起至2015 年 1月16 日止。 三、课程设计(论文) 地点:电气学院机房 10-303 四、课程设计(论文)内容要求: 1.课程设计的目的 (1)综合运用单片机原理及应用相关课程的理论知识和实际应用知识,进行单片机应用系统电路及程序设计,从而使这些知识得到进一步的巩固,加深和发展;(2)熟悉和掌握单片机控制系统的设计方法,汇编语言程序设计及proteus 软件的使用; (3)通过查阅图书资料、以及书写课程设计报告可提高综合应用设计能力,培养独立分析问题和解决问题的能力。 2.课程设计的内容及任务 (1)可产生频率可调的正弦波(64个点)、方波、锯齿波或三角波。 (2)显示出仿真波形。 (3)通过按键选择输出波形的种类。 (4)在此基础上使输出波形的幅值可控。

3.课程设计说明书编写要求 (1)设计说明书用A4纸统一规格,论述清晰,字迹端正,应用资料应说明出处。(2)说明书内容应包括(装订次序):题目、目录、正文、设计总结、参考文献等。应阐述整个设计内容,要重点突出,图文并茂,文字通畅。 (3)报告内容应包括方案分析;方案对比;整体设计论述;硬件设计(电路接线,元器件说明,硬件资源分配);软件设计(软件流程,编程思想,程序注释,) 调试结果;收获与体会;附录(设计代码放在附录部分,必须加上合理的注释)(4) 学生签名: 2015年1月16 日 课程设计(论文)评审意见 (1)总体方案的选择是否正确;正确()、较正确()、基本正确()(2)程序仿真能满足基本要求;满足()、较满足()、基本满足()(3)设计功能是否完善;完善()、较完善()、基本完善()(4)元器件选择是否合理;合理()、较合理()、基本合理()(5)动手实践能力;强()、较强()、一般()(6)学习态度;好()、良好()、一般()(7)基础知识掌握程度;好()、良好()、一般()(8)回答问题是否正确;正确()、较正确()、基本正确()、不正确() (9)程序代码是否具有创新性;全部()、部分()、无() (10)书写整洁、条理清楚、格式规范;规范()、较规范()、一般()总评成绩优()、良()、中()、及格()、不及格() 评阅人:

基于max038的信号发生器设计说明

一、课题名称:函数信号发生器 二、主要技术指标(或基本要求): 1)能精密地产生三角波、锯齿波、矩形波(含方波)、正弦波信号。 2)频率范围从0.1Hz~20MHz,最高可达40MHz,各种波形的输出幅度均为2V(P-P)。 3)占空比调节范围宽,占空比和频率均可单独调节,二者互不影响,占空比最大调节范围是 15%~85%。 4)波形失真小,正弦波失真度小于0.75%,占空比调节时非线性度低于2%。 5)采用±5V双电源供电,允许有5%变化范围,电源电流为80mA,典型功耗400mW,工作温 度范围为0~70℃。 6)内设2.5V电压基准,可利用该电压设定FADJ、DADJ的电压值,实现频率微调和占空比调 节。 7)低阻抗定压输出,输出电阻典型值0.1欧姆,具有输出过载/短路保护。 三、主要工作内容:方案设想,MAX038,OP07,电路原理等资料查询准备。电路原理图设 计绘制,面包板验证设计可行性。之后进行PCB板设计调整,电路板定制,元件采购;裸板 测试,焊接,整机测试。实验设计进行报告反馈 四、主要参考文献: [1]赵涛,辛灿华,姚西霞,陈晓娟,基于MAX038的多功能信号发生器的设计。《机电产品 与创新》 2008.07 [2]蒋金弟,朱永辉,毛培法。MAX038高频精密函数信号发生器原理及应用。《山西电子技 术》 2001 [3]黄庆彩,祖静,裴东兴.基于MAX038的函数信号发生器的设计[J].仪器仪表学报,2004,S1. [4]陈一新.单片高频函数发生器MAX038及其应用[J].中国仪器仪表,2002,04. [5]赵立民.电子技术实验教程[M].北京:机械工业出版社,2004

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

基于FPGA的实用多功能信号发生器的设计与制作

基于FPGA的实用多功能信号发生器 的设计与制作

基于FPGA的实用多功能信号发生器的设计与制作 摘要 多功能信号发生器已成为现代测试领域应用最为广泛的通用仪器之一,代表了信号源的发展方向。直接数字频率合成(DDS)是二十世纪七十年代初提出的一种全数字的频率合成技术,其查表合成波形的方法可以满足产生任意波形的要求。由于现场可编程门阵列(FPGA)具有高集成度、高速度、可实现大容量存储器功能的特性,能有效地实现DDS技术,极大的提高函数发生器的性能,降低生产成本。 本文首先介绍了函数信号发生器的研究背景和DDS的理论。然后详尽地叙述了利用Verilog HDL描述DDS模块的设计过程,以及设计过程中应注意的问题。文中详细地介绍了多种信号的发生理论、实现方法、实现过程、部分Verilog HDL代码以及利用Modelsim仿真的结果。 文中还介绍了Altera公司的DE2多媒体开发平台的部分功能及使用,并最终利用DE2平台完成了多功能信号发生器的大部分功能。包括由LCD显示和按键输入构成的人机界面和多种信号的发生。数字模拟转换器是BURR-BROWN 公司生产的DAC902。 该信号发生器能输出8种不同的信号,并且能对输出信号的频率、相位以及调制信号的频率进行修改设定。 关键词:信号发生器;DDS;FPGA;DE2

Practical FPGA-based multi function signal generator design and production Abstract Multi function signal generator has become the most widely used in modern testing field of general instrument, and has represented one of the development direction of the source. Direct digital frequency synthesis (DDS) is a totaly digital frequency synthesis technology, which been put forward in the early 1970s. Using a look-up table method to synthetic waveform, it can satisfy any requirement of waveform produce. Due to the field programmable gates array (FPGA) with high integrity, high speed, and large storage properties, it can realize the DDS technology effectively, increase signal generator’s performance and reduce production costs. Firstly, this article introduced the function signal generator of the research background and DDS theory. Then, it described how to design a DDS module by Verilog HDL, and introduced various signal occurs theory, method and the implementation process, Verilog HDL code and simulation results. This paper also introduces the function of DE2 multimedia development platform, and completed most of the functions of multi-function signal generator on DE2 platform finally. Including the occurrence of multiple signal and the man-machine interface which composed by LCD display and key input. Digital-to-analog converters is DAC902, which produced by company BURR-BROWN. This signal generator can output eight different kinds of signals, and the frequency of the output signal, phase and modulation frequency signal also can be modifyed. Key Words: Signal generator; DDS; FPGA; DE2

相关主题
文本预览
相关文档 最新文档