当前位置:文档之家› 基于FPGA的DDS信号发生器的研究本科毕业论文

基于FPGA的DDS信号发生器的研究本科毕业论文

毕业论文声明

本人郑重声明:

1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。

2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。

3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。

4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。

学位论文作者(签名):

年月

关于毕业论文使用授权的声明

本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据

库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。

论文作者签名:日期:

指导教师签名:日期:

毕业论文

基于FPGA的DDS信号发生器的研究

第1章绪论

1.1 课题背景

频率检测是电子测量领域的最基本也是最重要的测量之一,频率信号抗干扰强,易于传输,可以获得较高的测量精度,所以频率方法的研究越来越受到重视[1]。在频率合成领域中,直接数字合成(Direct Digital Synthesizer,简称:DDS)是近年来新的技术, 它从相位的角度出发直接合成所需波形。它是由美国人J.Tierncy首先提出来的,是一种以数字信号处理理论为基础,从相位概念出发直接合成所需波形的一种新的全数字技术的频率合成方法[2]。其主要优点有:频率改变速度快、频率分辨率高、输出相位连续、可编程、全数字化便于集成等,目前使用最广泛的一种DDS频率合成方式是利用高速存储器将正弦波的M个样品存在其中,然后以查找的方式按均匀的速率把这些样品输入到高速数模转换器,变成所设定频率的正弦波信号[3]。近30年来,随着超大规模集成、现场可编程门阵列(Field Programmable Gate Array,简称:FPGA)、复杂可编程器件(Complex programmable Logic Device,简称:CPLD)等技术的出现以及对DDS理论上的进一步探讨,使得DDS技术得到了飞速的发展。它已广泛应用于通讯、雷达、遥控测试、电子对抗、以及现代化的仪器仪表工业等许多领域。DDS的数字部分,即相位累加器和查表,被称为数控振荡器(NCO)[4]。

波形发生器即通常所说的信号发生器是一种常用的信号源,和示波器、电压表、频率计等仪器一样是最普遍、最基本也是应用最广泛的的电子仪器之一,几乎所有电参量的测量都要用到波形发生器。不论是在生产还是在科研与教学上,波形发生器都是电子工程师信号仿真试验的最佳工具。随着现代电子技术的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速

度快且频率转换时输出波形相位连续等。而传统波形发生器采用专用芯片,成本高,控制方式不灵活,已经越来越不能满足现代电子测量的需要,正逐步退出历史舞台。可见,为适应现代电子技术的不断发展和市场要求,研究制作高性能的任意波形发生器十分有必要,而且意义重大。

1.2 国内外波形发生器发展现状

1.2.1 波形发生器的发展现状

在70年代前,信号发生器主要有两类:正弦波和脉冲波。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。在70年代后,微处理器的出现,可以利用处理器、A/D和D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。这时期的波形发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。

90年代末,出现几种真正高性能、高价格的波形发生器、但是HP公司推出了型号为HP770S的信号模拟装置系统,它由HP8770A任意波形数字化和HP1776A波形发生软件组成。HP8770A实际上也只能产生8种波形,而且价格昂贵。

到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过GHz的DDS芯片,同时也推动了波形发生器的发展,2003年,Agilent的产品33220A能够产生17种波形,最高频率可达到20M,2005年的产品N6030A能够产生高达500MHz的频率,采样的频率可达1.25GHz。最近几年来,随着集成电路技术和器件水平的提高,国外一些公司先后推出各种各样的DDS专用芯片,如Qualcomm公司的Q2230、Q2334,AD公司的AD9955、AD9850、AD9851、AD9852等[5]。

1.2.2 国内外波形发生器产品比较

频率合成器被誉为电子系统的“心脏”,频率源的发展直接关系到电子系统性能的发展。信号发生器是一种常用的信号源,广泛应用于通信、雷达、测控、电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要

求的电信号设备,和示波器、电压表、频率计等仪器一样是最普通、最基本也是应用最广泛的电子仪器之一,几乎所有电参量的测量都要用到波形发生器[6]。

早在1978年,由美国Wavetek公司和日本东亚电波工业公司公布了最高取样频率为5MHz,可以形成256点(存储长度)波形数据,垂直分辨率为8bit,主要用于振动、医疗、材料等领域的第一代高性能信号源,经过将近30年的发展,伴随着电子元器件、电路、及生产设备的高速化、高集成化,波形发生器的性能有了飞速的提高。变得操作越来越简单而输出波形的能力越来越强。波形操作方法的好坏,是由波形发生器控制软件质量保证的,编辑功能增加的越多,波形形成的操作性越好。目前我国已经开始研制信号发生器,并获得了可喜的成果,但总的来说,我国波形发生器还没有形成真正的产业,并且我国目前在波形发生器的的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫[7]。

1.2.3 研究波形发生器的目的及意义

波形发生器是信号源的一种,主要给被测电路提供所需要的己知信号(各种波形),然后用其它仪表测量感兴趣的参数[8]。多功能波形发生器采用FPGA器件作为核心控制部件,精度高稳定性好,得到波形平滑,特别是由于FPGA的高速度,能实现较高频率的波形[9]。目前我国己经开始研制波形发生器,并取得了可喜的成果。但总的来说,我国波形发生器还没有形成真正的产业。就目前国内的成熟产品来看,多为一些PC仪器插卡,独立的仪器和VXI系统的模块很少,并且我国目前在波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。

1.3 论文的主要工作与章节安排

本文主要通过分析DDS的原理,进而得到DDS信号发生器的设计方案,然后通过选材等一系列设计来完成DDS信号发生器的研究。其中第二章主要介绍DDS的基本原理以及优缺点。第三章则重点介绍了本次设计所采用的开发平台。第四章是本问重点介绍的对象,里面主要包含了设计的具体思路包括系统的实现以及系统工作流程情况。第五章是要是对实验结果进行分析。

第2章DDS波形发生器的理论介绍

2.1 DDS的基本原理与特点

DDS即直接数字频率合成技术,是由美国学者J.Tiercy,M.Rader和B.Gold 于1971年首次提出,是一种以数字信号处理理论为基础,从相位概念出发直接合成所需波形的一种新的全数字技术的频率合成方法。从1971年至今,DDS已从一个工程新事物逐渐发展成为一个重要的设计工具。与大家熟悉的直接式和间接式(PLL)频率合成技术不同,DDS技术完全采用数字技术处理,属于第三代频率合成技术。DDS的主要优点是它的输出频率、相位和幅度能够在微控制器的控制下精确而快速的变换。DDS的应用领域包括各类无线通信、有线通信、网络通信,各类需要频率信号的仪器、仪表、遥测、遥感设备、收音机和电视机等[10]。

本节以正弦信号的产生为例,阐述DDS技术的基本原理。

对于一个频谱纯净的单频正弦信号可以用下式来描述:

(2-1)

其相位为(2-2)

)

π2(

sin

sin

out out

t

f

A

t

A

S=

t

f

out

π2

=

θ

显然,该正弦信号相位和幅值均为连续变量。为了便于采用数字技术,应对连续的正弦信号进行离散化处理,即把相位和幅值均转化为数字量。

用频率为fclk 的基准时钟对正弦信号进行抽样 ,这样,在一个参考时钟周

期T 内,相位的变化量为

(2-3)

由上式得到的△θ为模拟量,为了将其转化为数字量,将2π切割成2N 等份作为最小量化单位,从而得到△θ的数字量M 为:

(2-4)

将式(2-3)带入(2-4 (2-5)

式(2-5)表明,在参考时钟频f clk 确定的情况下,输出正弦信号的频率f out 决定于M 的大小,并且与M 呈线性关系。通过改变M 的大小,就可以改变输出正弦信号的频率,因此,M 也称频率控制字。当参考时钟频率取2N 时,正弦信号的频率就等于频率控制字M 。当M 取1时,可以得到输出信号的最小频率步进为

(2-6)

由此可知,只要N 取值足够大,就可以得到非常小的频率步进值。

将相位转化为数字量以后,式(2-1)就可以描述为如下形式:

(2-7)

表示本周期相位值与前一个基准时钟周期的相位值的累加。

从式(2-7)可以看出,只要用频率控制字M 进行简单的累加运算,就可以

得到正弦函数的当前相位值。而正弦信号的幅值就是正弦信号的当前相位值的函数。由于正弦函数为非线性函数,很难实时计算,一般通过查表的方法来快速获得函数值。

有了上述理论分析,我们就可以得到一种用数字的方法获得正弦信号的方法:先构建一个N为的相位累加器,在每一个时钟周期内,将相位累加器中的值与频率控制字相加,得到当前的相位值。将当前的相位值作为ROM的地址,读出ROM中的正弦波数据,再通过D/A转化成模拟信号。频率控制字越大,相位累加器的输出变化越快,ROM的地址变化也越快,输出的正弦信号频率越高。需要注意的是,受ROM容量的限制,ROM地址位数一般小于相位累加器的位数,因此,把相位累加器输出的高位作为ROM的地址。只需要改变频率控制字,就可以改变输出信号的频率,因此,采用DDS技术,对输出信号频率的控制十分简单。DDS正弦信号发生器的基本原理框图如图2-1-1所示。

图2-1 DDS正弦信号发生器基本原理框图

2.2 DDS信号的优点与缺点

2.2.1 DDS的优点

(l)输出频率相对带宽较宽

输出频率带宽为50%fs(理论值),实际输出带宽仍可达到40%fs。

(2)频率转换时间短

频率时间等于频率控制字的传输时间,也就是一个时钟周期的时间。时钟频

率越高,转换时间越短。DDS的转换时间可达纳微秒级数量级,比使用其他的频率合成方法都要短数个数量级。

(3)频率分辨率高

若时钟fs的频率不变,DDS的频率分辨率就是由相位累加器的位数N决定。只要增加相位累加器的位数N即可获得任意小的频率分辨率。目前,大多数DDS 的分辨率在1Hz数量级,许多小于1mHz甚至更小。

(4)相位变化连续

改变DDS输出频率,实际上改变的是每一个时钟周期的相位增量,相位函数的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号相位的连续。

(5)输出波形的灵活性

只要在DDS内部加上相应控制如调频控制FM,调相控制PM和调幅控制AM即可以方便灵活实现调频,调频和调幅等功能,产生FSK,PSK,ASK,MSK等信号。另外,只要在DDS的波形存储器存放不同波形数据,就可以实现各种波形的输出,如三角波,锯齿波和矩形波甚至是任意波形。当DDS的波形存储器分别存放正弦和余弦函数表时,即可得到正交的两路输出。

2.2.2 DDS的缺点

(1)输出带宽范围有限

由于DDS内部DAC和波形存储器(ROM)的工作速度有限,使得DDS输出的最高频率有限。目前市场上采用CMOS,TTL,EcL,工艺制作的DDS芯片,工作频率一般在几十MHz至400MHz左右。采用GaAS工艺的DDS芯片工作频率可达2GHz以上。

(2)输出散杂大

由于DDS采用全数字结构,不可避免地引入了散杂。其来源主要由三个:相位累加器相位舍入误差造成的散杂;幅度量化误差造成的散杂和DAC非理想特性造成的散杂。

2.3 本章小结

本章主要介绍了DDS的原理。其中第一部分以正弦波为例子,对DDS原理在整个设中的重要意义进行讲解。后一部分则是分析DDS的优点以及缺点。

第3章开发平台介绍

3.1硬件平台FPGA介绍

3.1.1 FPGA简介

自1985年Xilinx公司推出有史以来第一颗现场可程序化逻辑组件至今,已经历了超过二十几年的发展历史。在发展过程中,以FPGA为代表的数字系统现场集成取得了惊人的发展:现场可程序化逻辑组件从最初的1200个可利用逻辑闸,发展到90年代的25万个可利用逻辑闸。其后不到数年,著名FPGA厂商,包括Altera公司、Xilinx等公司,又陆续推出了内建数百万逻辑闸以上的FPGA 芯片,将现场可程序化组件的整合度提高到一个新的水平。如今,各厂商不再盲目追加逻辑闸的数量,转而努力消除过去FPGA弱势之处,以强化过的运算效能、更为节省的功耗,向各种运算领域扑天盖地而来。

纵观现场可程序化逻辑组件的发展历史,其之所以具有巨大的市场吸引力,在于FPGA不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、开发软件投入少、芯片价格不断降低,促使FPGA在某些情况下得以取代ASIC的市场,特别是对小量、多样,短开发期的产品需求,使FPGA成为首选。

3.1.2 FPGA工作原理

FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。它的基本特点主要有:采

用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。FPGA 可做其它全定制或半定制ASIC电路的中试样片。FPGA内部有丰富的触发器和I/O引脚。FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。FPGA采用高速CHMOS工艺,功耗很低,可以与CMOS、TTL电平兼容。纵观现场可程序化逻辑组件的发展历史,其之所以具有巨大的市场吸引力,在于FPGA不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、开发软件投入少、芯片价格不断降低,促使FPGA在某些情况下得以取代ASIC的市场,特别是对小量、多样,短开发期的产品需求,使FPGA成为首选[11]。

FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM 即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活[12]。

3.2软件平台Quartus II介绍

图3-2 Quartus II软件界面

如图3-2所示为Quartus II软件的基本界面,Quartus II软件是Altera的综合开发工具,它集成了Altera的FPGA/CPLD开发流程中所涉及的所有工具和第三方软件接口。Quartus II几乎支持Altera现行的所有FPGA,在该集成开发环境中可以实现电路的设计、综合、适配到最后形成下载文件以及在线配置FPGA,还能对电路进行功能仿真,对适配后形成的最终电路进行时序仿真。也就是说只要有了Quartus II这个集成开发环境,就基本上可以完成Altera公司FPGA开发过程中的所有工作。另外,为了方便设计,Quartus II还提供了免费LPM模块供用户调用,如计数器、存储器、加法器、乘法器等。除了这些免费的LPM模块外,Altera公司还开发了有偿IP核提供给有需要的用户使用。这些LPM模块和IP核都大大简化了设计过程,缩短了开发周期。Quartus II支持多种输入方式,常用的有:

(1)原理图输入:这种方法最直观,适合顶层电路的设计;

(2)硬件描述语言输入:包括AHDL、VHDL及Verilog HDL输入。采用硬件描述语言的优点易于使用自顶向下的设计方法、易于模块规划和复用、移植性强、通用性好。

(3)网表输入:对于在其他软件系统上设计的电路,可以采用这种设计方法,而不必重新输入,Quartus II支持的网表文件包括EDIF、VHDL及Verilog等格式。这种方法的优点是可以充分利用现有的设计资源。

3.3本章小结

本章主要对本次设计所选择的开发平台进行简单介绍。FPGA因为其不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、开发软件投入少、芯片价格不断降低,所以成为首选。软件部分主要是对Quartus II进行简单的介绍。

第4章系统实现

4.1 设计要求

采用DDS技术设计一个信号发生器,其原理框图如图4-1所示:

图4-1 DDS信号发生器原理框图

设计要求如下:

1、具有产生正弦波、方波、三角波三种周期性波形;

2、输出信号频率范围1Hz~5MHz,重复频率可调,频率步进间隔小于等于1Hz;

3、输出信号幅值范围0.5~10V(峰-峰值),信号幅值和直流偏移量可数控调节;

4、具有稳幅输出功能,当负载变化时,输出电压幅度变化不大于±3%(负载电阻变化范围:50Ω~正无穷);

5、具有显示输出波形类型、重复频率等功能。

4.2 系统方案论证与比较

4.2.1 产生DDS信号波形方案的选择

目前主流的DDS信号发生器方案有两种:

方案一、采用专用DDS集成芯片实现的信号发生器;

方案二、采用单片机+FPGA实现的DDS信号发生器。

比较这两种方案,专用DDS芯片内部的波形数据存放在ROM型存储器中,波形数据无法修改故而只能产生固定波形的信号,但系统比较容易实现。而采用单片机+FPGA实现的DDS信号发生器则是将波形数据存储器改为FPGA上的RAM行存储器,波形信号能实时改变,在利用单片机系统进行控制和处理后,能实现DDS任意波形发生器,功能更加完善,更新更加方便。

故本设计选用方案二。

4.2.2 单片机处理器比较选择

方案一:采用AT89C51单片机处理电路,其拥有并行I/O口32个,对于实际应用来说远远不够,且不具备自带AD、DA,使得电路结构复杂。

方案二:C8051F360单片机内部资源非常丰富,是目前功能最全、速度最快的51内核SoC单片机之一,包括告诉8051微处理器内核,扩充终端处理系统,256字节内部RAM,1024字节XRAM和32KB的闪速存储器,多达39个I/O引脚,两个内部振荡器和片内调试电路,能很好的完成本系统所需的单线程,键盘功能分支程序控制。

在本设计中选用方案二。

4.3 系统理论分析及设计

4.3.1 总体设计

本设计采用单片机+FPGA 实现的DDS 信号发生器,整个DDS 信号发生器由单片机子系统、FPGA 子系统、模拟子系统三部分组成,系统原理框图如下图4-2所示:

信号输出

图4-2系统原理框图

4.3.2 主要技术参数的分析与确定

DDS 信号发生器的技术指标取决于DDS 系统的时钟频率、相位累加器的位数、波形数据表的长度等参数,下面对这些参数进行讨论,以选择适合的模拟电路元件以实现高质量的DDS 信号。

1、输出带宽

当频率控制字M =1时,输出信号的最低频率为

N clk o f f 2min ,=

(4-1)

式中,为参考时钟频率,N 为相位累加器的位数。当N 取很大值时,最低输出频率可以认为达到DDS 最低频率的零频。

DDS 的最高输出频率由参考时钟周期和一个周期波形采样点数决定,若采样点数为X ,则最高输出频率为

X

f f clk o =max ,

(4-2)

2、频率分辨率

频率分辨率由下式决定:

N clk f f 2=

? (4-3)

在此式中,当N 取值足够大时,DDS 信号可以达到很高的信号分辨率。

3、 DDS 信号的质量

由于DDS 信号发生器采用全数字设计,不可避免在采样时会带来D/A 产生的幅度量化噪声和相位累加运算截断产生的相位噪声。改善DDS 信号质量的主要方法有:增加波形存储器和D/A 的字宽;增加每个周期数据的样本数,提高外部参考时钟频率和通过低通滤波器来改善输出信号质量。

综合上述讨论和对器件成本以及硬件系统复杂度的考虑,DDS 子系统的参数确定如下:

1. 参考时钟频率:40MHz ;

2. 频率控制字的位宽:32位;

3. 相位累加器的位宽:32位;

4. 波形存储器的地址位宽:8位;

5. 波形存储器的数据位宽:8位。

4.3.3 数字部分电路设计

该DDS 信号发生器的数字部分包括单片机子系统、FPGA 、高速D/A 转换器、人机接口(128×64点阵式LCD 模块和4×4矩阵式键盘)。

单片机子系统需要完成键盘输入、液晶显示、向FPGA 传送数据、输出信号幅值和直流偏移量的数字控制等功能。本设计中DDS 信号发生器的键盘主要用于选择信号波形、输入频率值、控制输出信号幅值和直流偏移量。0~9键用于输入频率值,其中0~3键还用于选择输出波形;Hz 键用于输入给定频率值的确认键;波形选择键用于选择波形;A+键用于增加信号幅值,A-键用于减少信号幅值,D+键用于增加直流偏移量,D-键用于减少直流偏移量。单片机控制程序包括主程序和键盘终端服务程序。主程序完成初始化和键值输入处理功能,键盘终端服务程序只完成键值读入功能。键盘终端中断程序完成键盘中断服务。

FPGA 内部的DDS 子系统包括地址译码电路、LCD 模块接口、4×4键盘接

口和DDS子系统。其中地址译码器用来产生外部数据存储器和I/O接口的片选信号,LCD模块LCD12864与单片机之间采用并行接口将单片机处理后的数据显示出来,4×4键盘接口电路包括分频电路、键盘扫描电路、行值编码器和消抖电路实现按键转换成二进制编码、键值数据端口与单片机总线接口连通、键值有效时的中断信号和消抖功能,DDS子系统由频率字寄存器、相位累加器、波形数据存储器等几部分组成以根据输入控制要求产生多种波形信号。

高速D/A转换器采用AD公司的高速D/A转换器AD9708,转换速率为40MHz,含有一个输入数据锁存器和译码逻辑电路,用来完成波形重建功能。

4.3.4 模拟部分电路设计

该DDS信号发生器的模拟部分包括滤波电路、信号放大器电路和驱动电路。模拟电路将承担输出信号幅值、直流偏移量、驱动能力等指标的实现。

滤波电路针对高速D/A输出信号进行滤波,将输出信号中高频分量通过低通滤波器滤去,得到相对纯净的波形信号。根据DDS信号发生器的设计要求,输出正弦信号的最高频率为5MHz,故本DDS信号发生器的滤波电路采用截至频率为5MHz的单片机集成低通滤波器LT6600-5。另在LT6600-5的外部电路中需外接阻值较大的两个电阻,以防治滤波器内部差分电路增益过大引起的输出波形饱和失真。

信号放大电路由基本差分放大电路、反相放大器和数字电位器组成。考虑到差分输出信号仍可能含有少量直流分量,在反相放大器后设计了一个直流偏移量调节电路,该直流偏移量调节电路由数字电位器实现。

驱动电路将为DDS信号发生器提供稳幅输出能力,即当负载电阻从50Ω~∞变化时,输出电压幅度变化不大与±3%。实际设计中我们采用了TI公司的THS3092高速集成运算放大器。

4.4 FPGA内部DDS子系统的设计

FPGA内部逻辑分为四个部分:地址译码电路、4X4键盘接口、DDS子系统、LCD模块接口。下面主要介绍DDS子系统的设计。

根据DDS原理图可知,DDS子系统由频率字寄存器、相位累加器、波形数

据存储器几个部分组成。根据设计题目要求,DDS信号发生器应能产生多种波形,这就要求单片机可以向波形数据存储器传送不同的波形数据,显然,波形数据存储器采用双口RAM是最合适的。双口RAM中的一个端口与单片机总线相连,接受来自单片机的256字节波形数据,另一个端口与D/A转换器相连。根据上述思路,可以得到图4-3所示的DDS子系统顶层原理图。图中DLATCH8为8为地址锁存器、frew为频率字寄存器、PHASE-ACC为相位累加器、LMP-RAM-DP为双口RAM:

图4-3 DDS子系统顶层原理图

(1)波形数据存储器

波形数据存储器的功能是:一方面,单片机能够通过并行总线将波形数据写入存储器,另一方面,在相位累加器输出地址控制下将波形数据依次送给高速D/A。在图4-3所示的顶层原理图中,波形数据存储器采用了双端口RAM,一个端口与单片机并行总线相连,另一个端口与相位累加器和高速D/A相连。

图4-3中的双口属于简单的双端口RAM,有一个独立的写端口和一个独立的读端口。对于写端口来说,其信号来自单片机的并行总线。当单片机执行外部数据存储器写指令时,并行总线上的数据,地址,写信号通过同步时钟CLK1的上升沿送入双口RAM的存储体,完成将波形数据写入指定的存储单元。对读端口来说,其地址信号来自相位累加器输出的高8位,读使能信号直接接高电平,数据输入送高速D/A转换器。读端口的输入输出信号也是与同步时钟CLK1同步。假设忽略器件延时,CLK0为DDS子系统的参考时钟,双口RAM同步时钟

CLK1由CLK0反向得到,高速D/A时钟信号DACLK与CLK相同。相位累加器的输出地址在CLK0的上升沿时刻发生改变,由于CLK1与CLK0反相,保证在CLK1上升沿时刻,Addr[31..24]于稳定状态。双口RAM的输出数据DACD[7..0]在CLK1上升沿时刻发生改变,由于高速D/A的时钟信号DACLK与CLK1反相,保证了DACLK上升沿时刻,DAC[7..0]处于稳定状态。

(2)地址锁存模块

C8051F360单片机P1口分时送出低8位地址和8位数据信息。通过FPGA 内部设计一个8位锁存器即可获取低8位地址。地址锁存器的VHDL程序为:port(

clk:in std_logic;

d:in std_logic_vector(7 downto 0);

q:out std_logic_vector(7 downto 0)

);

end DLATCH8;

architecture one of DLATCH8 is

begin

process(clk,d)

begin

if(clk='1')then

q<=d;

end if;

end process;

(3)相位累加器模块的设计

相位累加器是DDS子系统的核心,由32位加法器与32位累加寄存器级联构成,对代表频率大小的频率控制字进行累加运算,输出波形存储器的地址。

相位累加器的设计可以直接采用LPM宏单元库中的LMP_ADD_SUB宏单元,也可以用VHDL语言自行设计。以下就是采用VHDL语言实现的相位累加器源程序:

library ieee;

use ieee.std_logic_1164.all;

函数信号发生器(毕业设计)

陕西国防学院电子工程系毕业论文 摘要 本系统以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从0.001Hz~30KHz的低失真正弦波、三角波、矩形波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。另外由于该芯片具有调制信号输入端,所以可以用来对低频信号进行频率调制。 函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的。 关键词: ICL8038,波形,原理图,常用接法 1

陕西国防学院电子工程系毕业论文 目录 摘要 (1) 目录 (2) 第一章项目任务 (3) 1.1 项目建 (3) 1.2 项目可行性研究 (3) 第二章方案选择 (4) 2.1 [方案一] (4) 2.2 [方案二] (4) 第三章基本原理 (5) 3.1函数发生器的组成 (6) 3.2 方波发生器 (6) 3.3 三角波发生器 (7) 3.4 正弦波发生器 (9) 第四章稳压电源 (10) 4.1 直流稳压电源设计思路 (10) 4.2 直流稳压电源原理 (11) 4.3设计方法简介 (12) 第五章振荡电路 (15) 5.1 RC振荡器的设计 (15) 第六章功率放大器 (17) 6.1 OTL 功率放大器 (17) 第七章系统工作原理与分析 (19) 7.1 ICL8038芯片简介 (19) 7.2 ICL8038的应用 (19) 7.3 ICL8038原理简介 (19) 7.4 电路分析 (20) 7.5工作原理 (20) 7.6 正弦函数信号的失真度调节 (23) 7.7 ICL8038的典型应用 (24) 致谢 (25) 心得体会 (26) 参考文献 (27) 附录1 (28) 附录2 (29) 附录3 (30) 2

基于单片机的心形流水灯毕业设计论文

课程设计(论文)说明书 题目:心形流水灯 院(系):信息与通信学院 专业:通信工程 学生姓名: 学号: 指导教师: 职称:讲师 2012年12 月1日

摘要 本论文基于单片机技术与单片机芯片AT89S51芯片功能和C语言程序,实现心形流水灯的多种亮与灭的循环。首先,我们了解单片机的一些技术,了解了单片机芯片AT89S51的一些功能;然后结合C语言编程;最后将它们运用到实际的电路,使心形LED灯实现多种亮灭方法。本论文介绍关于流水灯的运用和单片机技术;然后介绍芯片AT89S51;最后介绍运用到的相关软件.矚慫润厲钐瘗睞枥庑赖。 关键词:单片机;流水灯;C语言;

Abstract This paper Based on the single chip microcomputer and single chip microcomputer chip AT89S51 chip function and C language program,Realization of flowing water light heart a variety of light and the cycle of destruction。primarily,We know some of the single chip microcomputer technology,Understanding of the single chip microcomputer chip AT89S51 of some functions, Then based on the C language programming; Finally they are applied to the practical circuit, Make heart LED lamp achieve a variety of light out method. This paper introduces about the use of flowing water light and single chip microcomputer; and then introduced chip AT89S51; At the end of this paper applied to software.聞創沟燴鐺險爱氇谴净。Key words:micro-computer;light water ;C programming language残骛楼諍锩瀨濟溆塹籟。

基于SVPWM异步电动机毕业论文

学科分类号: 08 人文科技学院 本科生毕业论文 题目:异步电动机矢量控制技术的研究

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部容。 作者签名:日期:

人文科技学院本科毕业论文诚信声明 本人重声明:所呈交的本科毕业论文,是本人在指导老师的指导下,独立进行研究工作所取得的成果,成果不存在知识产权争议,除文中已经注明引用的容外,本论文不含任何其他个人或集体已经发表或撰写过的作品成果。对本文的研究做出重要贡献的个人和集体均已在文中以明确方式标明。本人完全意识到本声明的法律结果由本人承担。 作者签名: 二0一年月日

异步电动机矢量控制技术的研究 摘要:现代电力电子技术和计算机控制技术的快速发展,促进了电气传动的技术革命。交流调速取代了直流调速,计算机数字控制取代了模拟控制己成为发展趋势。电压空间矢量脉宽调制(Space Vector Pulse Width Modulation,简称SVPWM)控制技术则是一种优化了的PWM控制技术,和传统的PWM法相比,不但具有直流利用率高(比传统的SPWM法提高了约15%),输出谐波少,控制方法简单等优点,而且易于实现数字化。 论文在分析异步电机结构及特点基础上,先对矢量控制技术进行详细的分析和推导,然后运用空间电压矢量技术(SVPWM),对空间电压矢量脉宽调制技术(SVPWM)的基本原理进行详细的分析和推导,并将SVPWM对比PWM和SPWM各自的特点,最后介绍了SVPWM的基本原理及其传统的实现算法,并通过SVPWM的算法构建了Matlab/Simulink仿真模型,仿真结果验证了该算法的正确性和可行性。 关键词:矢量控制;空间电压矢量;Matlab/SIMULINK仿真 Research on asynchronous motor vector control technology

信号发生器毕业设计

信号发生器的设计与制作 系别:机电系专业:应用电子技术届:07届姓名:张海峰 摘要 本系统以AD8951集成块为核心器件,AT89C51集成块为辅助控制器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。AD9851是AD公司生产的最高时钟为125 MHz、采用先进的CMOS技术的直接频率合成器,主要由可编程DDS系统、高性能模数变换器(DAC)和高速比较器3部分构成,能实现全数字编程控制的频率合成。 关键词AD9851,AT89C51,波形,原理图,常用接法

ABSTRACT 5 The system AD8951 integrated block as the core device, AT89C51 Manifold for auxiliary control devices, production of a function signal generator to produce low cost. Suitable for students to learn the use of electronic technology measurement. AD9851 is a AD produced a maximum clock of 125 MHz, using advanced CMOS technology, the direct frequency synthesizer, mainly by the programmable DDS systems, high-performance module converter (DAC) and high-speed comparator three parts, to achieve full Digital program-controlled frequency synthesizer. Key words AD9851, AT89C51, waveforms, schematics, Common Connection

PLC控制三相异步电动机正反转设计_本科毕业设计论文

PLC控制三相异步电动机正反转设计 摘要本论文文设计了三相异步电动机的PLC控制电路,就是三相异步电动机的正反转控制,与传统的继电器控制相比,具有控制速度快、可靠性高、灵活性强等优点。非常实用。三相异步电动机的应用非常广泛,具有机构简单,效率高,控制方便,运行可靠,易于维修成本低的有点,几乎涵盖了工农业生产和人类生活的各个领域,在这些应用领域中,三相异步电动机运行的环境不同,所以造成其故障的发生也很频繁,所以要正确合理的利用它。本文研究的这个系统的控制是采用PLC的编程语言----梯形图,梯形语言是在可编程控制器中的应用最广的语言,因为它在继电器的基础上加进了许多功能,使用灵活的指令,使逻辑关系清晰直观,编程容易,可读性强,所实现的功能也大大超过传统的继电器控制电路,可编程控制器是一种数字运算操作的电子系统,它是专为在恶劣工业环境下应用而设计,它采用可编程序的存储器,用来在内部存储执行逻辑运算,顺序控制,定时,计数和算术等操作的指令,并采用数字式,模拟式的输入和输出,控制各种的机械或生产过程。 关键词:PLC 三相异步电动机可编程控制梯形图

Plc control with a three wire asynchronous motor is inverting design Abstract This paper designed the three-phase asynchronous motor PLC control circuit, is a three-phase asynchronous motor positive inversion control, compared with the traditional relay control, with high speed, high reliability, flexibility and other advantages. Very practical. The three-phase asynchronous motor is widely used, has the advantages of simple mechanism, high efficiency, easy control, reliable operation, easy to repair and low cost a little, almost covers the industrial and agricultural production and all aspects of human life, in these applications, three-phase asynchronous motor running in different environments, so the fault occurrence is also very frequently, so a correct and reasonable use of it. This paper studies the system control is the use of PLC programming language - ladder, ladder language is in the programmable controller in the most widely used language, because it is in the relay is added on the basis of the many functions, the use of flexible instruction, so that the logic relationship of a clear and intuitive, easy programming, readability is strong, the realization of the functions it considerably exceeds the traditional relay control circuit, the programmable controller is a digital electronic computing operating system, it is designed for use in harsh industrial application environment and design, it uses a programmable memory, used in the internal memory to perform logic operations, sequence control, timing, counting and arithmetic operations such as instruction, and the use of digital, analog input and output, the control of various mechanical or production process.

单片机控制的花样流水灯设计_毕业设计论文

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

三项异步电动机的工作原理毕业论文

电动机技术现状及前景 电动机是利用电磁感应原理工作的机械。随着生产的发展而发展的,反过来,电机的发展又促进了社会生产力的不断提高。从19 世纪末期起,电动机就逐渐代替蒸汽机作为拖动生产机械的原动机,一个多世纪以来,虽然电机的基本结构变化不大,但是电机的类型增加了许多,在运行性能,经济指标等方面也都有了很大的改进和提高,而且随着自动控制系统和计算机技术的发展,在一般旋转电机的理论基础上又发展出许多种类的控制电机,控制电机具有高可靠性、好精确度、快速响应的特点,已成为电机学科的一个独立分支。 它应用广泛,种类繁多。性能各异,分类方法也很多。电机常用的分类方法主要有两种:一种是按功能用途分,可分为发电机、电动机,、压器和控制电机四大类。电动机的功能是将电能转换成机械能,它可以作为拖动各种生产机械的动力,是国民经济各部门应用最多的动力机械,也是最主要的用电设备,各种电动机消耗的电能占全国总发电量的60%~70%。另一种分类方法是按照电机的结构或转速分类, 可分为变压器和旋转电机. 根据电源电流的不同旋转电机又分为直流电机和交流电机两大类. 交流电机又分为同步电机和异步电机. 在现代化工业生产过程中,为了实现各种生产工艺过程,需要各种各样的生产机械。拖动各种生产机械运转,可以采用气动,液压传动和电力拖动。由于电 力拖动具有控制简单、调节性能好、耗损小、经济,能实现远距离控制和自动控制等一系列优点,因此大多数生产机械都采用电力拖动。 按照电动机的种类不同,电力拖动系统分为直流电力拖动系统和交流电力拖动系统两大类。 纵观电力拖动的发展过程,交,直流两种拖动方式并存于各个生产领域。在交流电出现以前,直流电力拖动是唯一的一种电力拖动方式,19 世纪末期,由于研制出了经济实用的交流电动机,致使交流电力拖动在工业中得到了广泛的应 用,但随着生产技术的发展,特别是精密机械加工与冶金工业生产过程的进步,对电力拖动在起动,制动,正反转以及调速精度与范围等静态特性和动态响应方面提出了新的,更高的要求。由于交流电力拖动比直流电力拖动在技术上难以实现这些要求,所以20 世纪以来,在可逆,可调速与高精度的拖动技术领域中,相当时期内几乎都是采用直流电力拖动,而交流电力拖动则主要用于恒转速系统。

任意信号发生器毕业设计开题报告书

苏州科技学院 毕业设计开题报告 设计题目任意信号发生器的硬件设计(基于89C51实现)院系电子与信息工程学院 专业电子信息工程 班级电子0911 学生姓名XXXXXXX 学号 设计地点 指导教师 2013 年3月31 日

设计题目:任意信号发生器的硬件设计(基于89C51实现)课题目的、意义及相关研究动态: 一、课题目的: 信号发生器是一种能产生模拟电压波形的设备,这些波形能够校验电子电路的设计。信号发生器广泛用于电子电路、自动控制系统和教学实验等领域,它是一种可以产生正弦波,方波,三角波等函数波形的一起,其频率范围约为几毫赫到几十兆赫,在工业生产和科研中利用信号发生器输出的信号,可以对元器件的性能鉴定,在多数电路传递网络中,电容与电感组合电路,电容与电阻组合电路及信号调制器的频率,相位的检测中都可以得到广泛的应用。因此,研究信号发生器也是一个很重要的发展方向。 常用的信号发生器绝大部分是由模拟电路构成的,但这种模拟信号发生器用于低频信号输出往往需要的RC值很大,这样不但参数准确度难以保证,而且体积和功耗都很大,而本课题设计的函数信号发生器,由单片机构成具有结构简单,价格便宜等特点将成为数字量信号发生器的发展趋势。 本课题采用的是以89c51为核心,结合 DAC0832实现程控一般波形的低频信号输出,他的一些主要技术特性基本瞒住一般使用的需要,并且它具有功能丰富,性能稳定,价格便宜,操作方便等特点,具有一定的推广作用。 二、课题意义: (1)任意信号发生器主要在实验中用于信号源,是电子电路等各种实验必不可少的实验设备之一,掌握任意信号发生器的工作原理至关重要。 (2)任意信号发生器能产生某些特定的周期性时间任意波形(正波、方波、三角波)信号,频率范围可从几个微赫到几十兆赫任意信号发生器在电路实验和设备检测中具有十分广泛的用途。 (3)本课题主要研究开发一个基于51单片机的实验用任意信号发生器,不但成本较低而精度较高,最重要的是开发简单易于调试,具有一定社会价值和经济价值。 (4)任意信号发生器作为一种常见的电子仪器设备,既能够构成独立的信号源,也可以是高新能的网络分析仪,频谱仪以及自动测试装备的组成部分,任意信号发生器的关键技术是多种高性能仪器的支撑技术,因为它是能够提高质量的精密信号源及扫描源,可使相应系统的检测过程大大简化,降低检测费用并且提高检测精度。

八位流水灯设计报告

八位流水灯循环点亮电路设计 1.设计要求 采用74LS138芯片,实现8位流水灯循环点亮电路。 2.题目分析 74LS138为3-8线译码器,它的工作原理是:①当一个选通端(E1)为高电平,另两个选通端E2和E3为低电平时,可将地址端(A0、A1、A2)的二进制编码在Y0至Y7对应的输出端以低电平译出。举例说明:如果A2A1A0=001,那么Y1输出0,其余输出1,发光二极管阴极接Y0-Y7,阳极接VCC,接上限流电阻,则Y1端发光二极管发光。 课题要求设计八位二极管循环点亮,则需要一系列脉冲序列,使得A2A1A0电平发生变化。即依次选通Y0-Y7,脉冲从000-111。 3.方案选择 利用74LS138选通发光二极管发光。 利用74LS161产生000-111脉冲控制74LS138的A2A1A0,依次选通Y0-Y7。产生脉冲序列也可以用74LS191是四位二进制同步加/减计数器,与74LS161相比,它能够实现减计数,此处只需要求产生脉冲序列,而且74LS161是常用的计数器,所以选择74LS161产生脉冲序列。 74LS161计数必须有时钟脉冲,如何获得时钟脉冲: 一、函数发生器获得; 二、555定时器可以产生方波; 三、LM358设计成方波发生器。 因为在电子设计这门课程中,我们做过LED闪烁灯,产生方波的原理前面实验报告中已经有所介绍,所以决定采用555定时器产生方波,而且频率更容易控制。 到此,所需设计已经完成,但如果加上数码管显示第几个LED灯发光,还需要讲信号进行译码,才能输出显示数字。采用4511芯片驱动数码管,功耗比较低。 4.原理框图

5.主要元器件介绍 5.174LS138 74LS138为3线-8线译码器,其工作原理如下: ●当一个选通端(E1)为高电平,另两个选通 端(E2)和(E3)为低电平时,可将地址端(A0、A1、A2)的二进制编码在Y0至Y7对应的输出端以低电平译出。 ●若将选通端中的一个作为数据输入端时, 74LS138还可作数据分配器。 5.274LS161 74LS161是常用的四位二进制可预置的 同步加法计数器。 当清零端CR=“0”,计数器输出 Q3、Q2、Q1、Q0立即为全“0”,这个时 候为异步复位功能。当CR=“1”且 LD=“0”时,在CP信号上升沿作用后, 74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状 态一样,为同步置数功能。而只有当CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计 数器加1。74LS161还有一个进位输出端CO,其逻辑关系是CO=Q0·Q1·Q2·Q3·CET。 合理应用计数器的清零功能和置数功能,一片74LS161可以组成16进制以下的任意 进制分频器。 5.3555定时器 555定时器的功能主要由两个比较器决定。两个比较器的输出电压控制RS触发 器和放电管的状态。在电源与地之间加上电压,当5脚 悬空时,则电压比较器C1的同相输入端的电压为2VCC /3,C2的反相输入端的电压为VCC/3。若触发输入端 TR的电压小于VCC/3,则比较器C2的输出为0,可使 RS触发器置1,使输出端OUT=1。如果阈值输入端TH 的电压大于2VCC/3,同时TR端的电压大于VCC/3,则 C1的输出为0,C2的输出为1,可将RS触发器置 0,使输出为0电平。 5.44511 4511芯片是一种常用的七段数码管译码器驱动器,使输入的二进制数在数码管上

异步电动机设计文献综述

本科毕业设计(论文) 文献综述 院(系):电气信息学院 专业:电气工程与自动化 班级:2010级 学生姓名:学号: 2013 年12 月18 日本科生毕业设计(论文)文献综述评价表

75KW三相鼠笼异步电动机设计1前言: 现在社会中,电能是使用最广泛的一种能源,在电能的生产、输送和使用等方面,作为动力设备的电机是不可缺少的一部分。电机是各个行业生产过程及日常生活中普遍使用的基础设备,它是进行电能量和机械能量转换的主要器件。它在现代工业、现代农业、现代国防、交通运输、科学技术、信息传输和日常生活中都得到最广泛的应用。 三相异步电动机在生产和交通运输中得到广泛使用,例如,在工业方面,它被广泛用于拖动各种机床、水泵、压缩机、搅拌机、起重机械等。在农业方面,他被广泛用于拖动排灌机械、脱粒机及各种农产品的加工机械。在家用电器和医疗器械和国防设施中,异步电动机也应用十分广泛,作为拖动各种机械的动力设备。随着电气化和自动化程度的不断提高,异步电动机将占有越来越重要的地位。而随着电力电子技术的不断发展,由异步电动机构成的电力拖动系统也将得到越来越广泛的应用。异步电动机与其它类型电机相比,之所以能得到广泛的应用是因为它具有结构简单、制造容易、运行可靠、效率较高、成本较低和坚固耐用等优点。 电动机是把电能转化为机械能,电动机作为各种用途的生产机械的动力元件,功率从几瓦到几万千瓦,每分钟转速从几十到几千转,应用十分广泛。电动机主要分为同步电动机、异步电动机与直流电动机三种,分别应用于不同的场合,而其中以三相异步电动机的使用最为广泛。 2 主题: 提高国内电机的可靠性和经济性指标被列为“十五”计划基本任务的两项重要内容。国内电机质量和技术水平差距的其中两个体现方面就可靠性差,经济指标落后。对电机进行细微的失效机理分析,采用新的设计方案、新的原材料及加工工艺是提高电机可靠性和经济指标的根本途径。 国外公司注重新产品开发,在电机的安全、噪声、电磁兼容等方面很重视。国外的先进水平主要体现在电机的可靠性高,寿命长,通用化程度高,电机效率不断提高,噪声低,重量轻,电机外形美观,绝缘等级采用F级和H级。国内市场供大于求,只能去发展特殊、专用电机,开发新产品,满足配套主机行业的特殊需要;国外市场由于普通中小型电机特别是小型电机是传统工业产品,耗用原材料及工时多而获利少,是劳动密集型产品,工业发达国家普遍不愿意生产,纷纷

函数信号发生器的设计与制作

Xuchang Electric V ocational College 毕业论文(设计) 题目:函数信号发生器的设计与制作 系部:电气工程系_ 班级:12电气自动化技术 姓名:张广超 指导老师:郝琳 完成日期:2014/5/20

毕业论文内容摘要

目录 1引言 (3) 1.1研究背景与意义 (3) 1.2研究思路与主要内容 (3) 2 方案选择 (4) 2.1方案一 (4) 2.2方案二 (4) 3基本原理 (5) 4稳压电源 (6) 4.1直流稳压电源设计思路 (6) 4.2直流稳压电源原理 (6) 4.3集成三端稳压器 (7) 5系统工作原理与分析 (8) 5.1ICL8038芯片性能特点简介 (8) 5.2ICL8038的应用 (8) 5.3ICL8038原理简介 (8) 5.4电路分析 (9) 5.5ICL8038内部原理 (10) 5.6工作原理 (11) 5.7正弦函数信号的失真度调节 (11) 5.8ICL8038的典型应用 (12) 5.9输出驱动部分 (12) 结论 (14) 致谢 (15) 参考文献 (16) 附录 (17)

1引言 信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波(含方波)、正弦波的电路被称为函数信号发生器。 1.1研究背景与意义 函数信号发生器是工业生产、产品开发、科学研究等领域必备的工具,它产生的锯齿波和正弦波、矩形波、三角波是常用的基本测试信号。在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波信号产生器作为时基电路。例如,要在示波器荧光屏上不失真地观察到被测信号波形,要求在水平偏转线圈上加随时间线性变化的电压——锯齿波电压,使电子束沿水平方向匀速搜索荧光屏。对于三角波,方波同样有重要的作用,而函数信号发生器是指一般能自动产生方波正弦波三角波以及锯齿波阶梯波等电压波形的电路或仪器。因此,建议开发一种能产生方波、正弦波、三角波的函数信号发生器。函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如 ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的[1]。 1.2研究思路与主要内容 本文主要以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术实验使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从几赫到几百千赫的低失真正弦波、三角波、矩形波等脉冲信号。基于ICL8038函数信号发生器主要电源供电、波形发生、输出驱动三大部分组成。电源供电部分:主要由集成三端稳压管LM7812和LM7912构成的±12V直流电压作为整个系统的供电。波形发生部分:主要由单片集成函数信号发生器ICL8038构成。通过改变接入电路的电阻或电容的大小,能够得到几赫到几百千赫不同频率的信号。输出驱动部分:主要由运放LF353构成。由于ICL8038的输出信号幅度较小,需要放大输出信号。ICL8038的输出信号经过运放LF353放大后能够得到输出幅度较大的信号[2]。

流水灯设计与总结报告

流水灯设计与总结报告 摘要:近年来,随着电子技术和微型计算机的发展呢,单片机的档次不断提高应用领域也不断扩大,已在工业控制、尖 端科学、智能仪器仪表、日用家电汽车电子系统、 办公自动化设备、个人信息终端及通信产品中得到广泛 的应用,成为现代电子系统中最重要的智能化的核心部件。关键字:单片机,流水灯 需求分析: 随着现代社会的发展,人们越来越追求审美和新颖,而流失灯就是其中一种,以前简单的照明工具变得越来越多样化,流水灯的千姿百态给人一种视觉冲动,现在不管大街小巷我们都可以随处可见这种变幻万千的流水灯,而这种流水灯我们可以产用子电路去设计,我们可以用控制器和状态译码器来实现灯光的流水效果,但是现在我们可以用单片机AT89C51来实现,因为其相对于电子电路有明显的优越性,控制硬件电路比较简单,软件方面也不复杂,而且功能作用并不低于电子电路设计的。由于它的小巧方便,我们采用单片机来做流水灯。 设计系统

1.复位电路部分 为确保微机系统中电路稳定可靠工作,复位电路是必不可少的一部分。单片机在启动时都需要复位,以使CPU及系统各部件处于确定的初始状态,并从初态开始工作。89系列单片机的复位信号是从RST引脚输入到芯片内的施密特触发器中的。当系统处于正常工作状态时,且振荡器稳定后,如果RST引脚上有一个高电平并维持2个机器周期(24个振荡周期)以上,则CPU就可以响应并将系统复位。单片机系统的复位方式有:手动按钮复位和上电复位。手动按钮不仅具有上电复位的功能,还可以通过按按键的方法实现复位,(如上图所示按S22)此时电源VCC经两个电阻分压,在RST端产生一个复位高电平。 2.时钟电路部分 时钟电路为单片机工作提供基本时钟,它是计算机工作的心脏,它控制着计算机的工作节奏。时钟电路一般由晶体震荡器和电容组成。

毕业设计三相异步电动机外文翻译

毕业设计三相异步电动机外文翻译

中文翻译 异步电动机具有结构简单、运行可靠、价格低、维护方便等一系列的优点,因此,异步电动机被广泛应用在电力拖动系统中。特别是随着电力电子技术的发展和交流调速技术的日益成熟,使得异步电动机在调速性能方面大大提高。当前,异步电动机的电力拖动已被广泛地应用在各个工业电气自动化领域中。就三相异步电动机的机械特性出发,主要简述电动机的启动,制动、调速等技术问题。 1 三相异步电动机的机械特性文 三相异步电动机的机械特性是指电动机的转速n与电磁转矩Tem之间的关系。由于转速n与转差率S有一定的对应关系,因此机械特性也常见Tem=f(s)的形式表示。三相异步电动机的电磁转矩表示式有三种形式,即物理表示式、参数表示式和实用表示式。物理表示式反映了异步电动机电磁转矩产生的物理本质,说明了电磁转矩是由主磁通和转子有功电流相互作用而产生的。参数表示式反映了电磁转矩与电源参数及电动机参数之间的关系,利用该式能够方便地分析参数变化对电磁转矩的影响和对各种人为特性的影响。实用表示式简单、便于记忆,是工程计算中常采用的形式。 电动机的最大转矩和启动转矩是反映电动机的过载能力和启动性能的两个重要指标,最大转矩和启动转矩越大,则电动机的过载

能力越强,启动性能越好。 三相异步电动机的机械特性是一条非线性曲线,一般情况下,以最大转矩(或临界转差率)为分界点,其线性段为稳定运行区,而非线性段为不稳定运行区。固有机械特性的线性段属于硬特性,额定工作点的转速略低于同步转速。人为机械特性曲线的形状可用参数表示式分析得出,分析时关键要抓住最大转矩、临界转差率及启动转矩这三个量随参数的变化规律。 2 三相异步电动机的启动 小容量的三相异步电动机能够采用直接启动,容量较大的笼型电动机能够采用降压启动。降压启动分为定子串接电阻或电抗降压启动、Y-D降压启动和自耦变压器降压启动。定子串电阻或电机降压启动时,启动电流随电压一次方关系减小,而启动转矩随电压的平方关系减小,它适用于轻载启动。Y-D降压启动只适用于正常运行时为三角形联结的电动机,其启动电流和启动转矩均降为直接启动时的1/3,它也适用于轻载启动。自耦变压器降压启动时,启动电流和启动转矩均降为直接启动时的l/k2(k为自耦变压器的变比),适合带较大的负载启动。 绕线转子异步电动机可采用转子串接电阻或频敏变阻器启动,其启动转矩大、启动电流小,适用于中、大型异步电动机的重载启动。 软启动器是一种集电机软启动、软停车、轻载节能和多种保护

函数信号发生器的毕业设计解读

保密类别编号 毕业论文 函数信号发生器的设计 系别电子信息科学系 专业电子信息工程 年级 姓名 指导教师 武汉大学珞珈学院 2012年5月5日 摘要 函数信号发生器是教学、科研中应用最广泛的仪器之一。如果能用相对简单的实现方式和较低的成本产生具有高稳定度和精确度的常用波形,无疑将在这些领域中得到更加广泛的应用。 本设计在介绍马克西姆公司MAX038芯片基本特性的基础上,论述了采用MAX038芯片来设计多波形函数信号发生器的原理以及整体的设计,并辅以控制软件和有关的外围电路,实现了一个低成本、多功能、高精度、输出频率连续可调的

频率合成式波形发生器,可输出三角波、方波、正弦波三种波形,阐述了其振荡频率控制、信号输出幅度控制、频率和幅度的控制的设计。 关键词: MAX038 函数信号发生器单片机 The design of the Function signal generatorr ABSTRACT Function signal generator is one of the most widely used instruments in teaching, scientific research. Use of relatively simple implementation and lower cost to produce a common waveform with high stability and accuracy, and there is no doubt it will undoubtedly be more widely applied in these areas. The design is base on the introduction of the basic properties of the Maxim MAX038 chip, discusses the principle and the whole design of using the MAX038 chip to design multi-waveform function signal generator, and with the relevant control software and the periphery of the circuit, achieve a low cost, multi-function, high precision, output frequency adjustable frequency synthesis type waveform generator, can output the triangle wave, square wave, sine wave three waveform, expounds the design of the oscillation frequency control, signal output amplitude, frequency and amplitude control. Key words: MAX038 Function Signal Single-chip microprocessor 目录 摘要.................................................... I ABSTRACT ................................................... II 第1章绪论. (1 1.1 课题研究的背景和意义 (1 1.2 设计任务和要求 (2

基于单片机的心形流水灯毕业设计论文

基于单片机的心形流水灯毕业设计论文 此文档为WORD版可编辑修改

课程设计(论文)说明书 题目:心形流水灯 院(系):信息与通信学院 专业:通信工程 学生姓名: 学号: 指导教师: 职称: X年X月X日

摘要 本论文基于单片机技术与单片机芯片AT89S51芯片功能和C语言程序,实现心形流水灯的多种亮与灭的循环。首先,我们了解单片机的一些技术,了解了单片机芯片AT89S51的一些功能;然后结合C语言编程;最后将它们运用到实际的电路,使心形LED灯实现多种亮灭方法。本论文介绍关于流水灯的运用和单片机技术;然后介绍芯片AT89S51;最后介绍运用到的相关软件. 关键词:单片机;流水灯;C语言;

Abstract This paper Based on the single chip microcomputer and single chip microcomputer chip AT89S51 chip function and C language program,Realization of flowing water light heart a variety of light and the cycle of destruction。primarily,We know some of the single chip microcomputer technology,Understanding of the single chip microcomputer chip AT89S51 of some functions, Then based on the C language programming; Finally they are applied to the practical circuit, Make heart LED lamp achieve a variety of light out method. This paper introduces about the use of flowing water light and single chip microcomputer; and then introduced chip AT89S51; At the end of this paper applied to software. Key words:micro-computer;light water ;C programming language

三相异步电动机论文

湖南农业大学 毕业论文 浅谈三相异步电动机的过热原因与维护 学生姓名:王礼明 年级专业:2008级机械电子工程 指导老师及职称:杨学工副教授 学院:成人教育学院 湖南·长沙 提交日期: 20 年月

浅谈三相异步电动机的过热原因与维护 学生:王礼明 指导老师:杨学工 (湖南农业大学成人教育学院,长沙 410128) 摘要:电动机是把电能转换成机械能的设备,三相异步电动机是电力拖动应用最多的电气设备,在工业、农业、国防、文教、医疗及日常生活等各个领域被广泛地应用,在工、农业生产中起着不可或缺的作用。三相异步电动机通过长期运行后,会发生电动机损坏等故障,而造成三相异步电动机损坏又多因其过热烧毁所致,因此对故障进行及时处理,是保证设备正常运行的一项重要的工作。本文就造成三相异步电动机过热的各种因素和维护进行浅析和探讨,供广大电气工作者参考。 关键词:三相异步电动机;基本结构;作用;工作原理;过热原因;维护方法 1 前言 电动机是把电能转换成机械能的设备,三相异步电动机是电力拖动应用最多的电气设备,在工、农业生产及生活中起着不可或缺的作用。而造成三相异步电动机损坏必须进行检修报废的原因,又多因其过热烧毁所致。由此影响各种生产任务的按期完成也是常见的,因此了解三相电动机的结构及各部分的作用与工作原理,以便于及时判断故障原因,进行相应处理与维护,防止故障扩大,保证设备正常运行,是广大电气工作者的一项重要的工作。 2 电动机的结构及各部分作用 三相异步电动机的种类很多,但各类三相异步电动机的基本结构是相同的,它们都由定子和转子这两大基本部分组成,在定子和转子之间具有一定的气隙。此外,还有端盖、轴承、接线盒、吊环等其他附件。三相电动机的定子一般由外壳、定子铁心、定子绕组(可以接成星形Y或三角形△)等部分组成,转子主要由转子铁心和转子绕组(分为绕线形与笼形两种,由此分为绕线转子异步电动机与笼形异步电动机),其他部分包括端盖、风扇等。 定子的作用是用来产生磁场和作电动机的机械支撑。电动机的定子由定子铁心、

相关主题
文本预览
相关文档 最新文档