当前位置:文档之家› 用VHDL设计LED+汉字滚动显示器(毕业设计论文附程序)

用VHDL设计LED+汉字滚动显示器(毕业设计论文附程序)

用VHDL设计LED+汉字滚动显示器(毕业设计论文附程序)
用VHDL设计LED+汉字滚动显示器(毕业设计论文附程序)

本科毕业设计(论文)

题目用VHDL 设计

LED 汉字滚动显示器

学生姓名任雪宾学号04066230

教学院系电子信息工程学院

专业年级通信工程2004级

指导教师王章瑞职称副教授

单位电子信息工程学院

辅导教师职称

单位

完成日期2008年6月日

Southwest Petroleum University

Graduation Thesis

Design a LED Chinese Character Rolling

Display with VHDL

Grade: 2004

Name: Ren Xuebin

Specialty: Telecommunications Engineering

Instructor: Wang Zhangrui

School of Electronic and Information Engineering

2008-6

摘要

本文对基于FPGA控制的LED汉字滚动显示器的设计方法进行了探讨。提出了两个实现方案。并对其中一个方案作了详细说明。文中首先介绍了LED发光二极管点阵的控制原理;然后研究了在LED发光二极管点阵上滚动显示汉字的方法;最后,用VHDL语言程序设计了一个完整的LED汉字滚动显示器。

本系统由扫描控制模块、只读存储器ROM和FPGA外面的LED点阵显示模块、一个反相器和两个4-16译码器构成。其中:扫描控制模块和只读存储器ROM集成在FPGA芯片内部。两个4-16译码器(74LS154)和一个反相器配合FPGA中的列扫描控制模块共同完成了两块16×16点阵显示模块的32列的扫描控制。FPGA定义的只读存储器ROM中保存了要显示的汉字的数据,并以16位的数据宽度输出到LED阵显示模块的行端,配合列扫描控制共同完成汉字的滚动显示。

本系统利用数字系统设计自动化(EDA)技术实现了全硬件方式的LED点阵显示。利用FPGA内部的物理资源,将只读存储器ROM和主要功能模块设计在FPGA内部。充分显示了EDA技术设计的灵活性,同时也大大提高了系统的集成度和稳定性。

关键词:FPGA;LED;EDA;动态扫描

Abstract

This article discusses the designing method of FPGA controlled LED Chinese character rolling display. This article also proposes two feasible plans and gives a detailed explanation to one of the plans. The article firstly introduces the LED control principle. Then the article studies rolled the demonstration Chinese character method on the LED light emitter diode lattice. Finally, I design a complete LED Chinese character rolling display with VHDL language program.

This system is composed of a scanning control module, a ROM, an LED plot array module, an inverter and two decoders, with the scanning control module and the ROM installed inside the FPGA chip. The two decoders and the inverter work with the out-scanning control module in the FPGA to exercise 32-array scanning control of the two 16×16 dot-matrix display module. The FPGA-defined ROM preserves the data of the Chinese characters that will be displayed, and it sends the data, by way of a 16-bit data width, to the end of the LED plot array module. With the array-scanning controller, the Chinese characters achieve their rolling display.

This system has realized the entire hardware way LED lattice demonstration using the number system design automation (EDA) technology. Using FPGA internal physics resources, non-erasable storage ROM and major function module design in FPGA. It had demonstrated fully the EDA technical design's flexibility, simultaneously also greatly enhanced system's integration rate and the stability.

Keywords: FPGA; LED; EDA; Dynamic scanning

目录

1 绪论 (1)

1.1 本设计的研究背景和研究目的 (1)

1.2 研究内容及方法 (2)

1.3 设计的主要工作 (2)

2 设计方案 (3)

2.1 方案一 (3)

2.2 方案二 (3)

2.3 方案比较 (4)

3 扫描控制模块 (5)

3.1 LED点阵原理 (5)

3.2 汉字的存储 (6)

3.3 汉字的显示 (7)

3.4 滚动速度的控制 (9)

3.5存储模块 (10)

3.5.1 使用参数化组件LPM_ROM来实现 (10)

3.5.2 用FPGA内部的逻辑单元设计一个ROM (12)

4 分频电路 (13)

4.1 解决毛刺 (13)

4.1.1 改变输入信号消除毛刺 (13)

4.1.2 通过D触发器消除毛刺 (14)

4.2 关键部分VHDL程序 (14)

5 按键扫描电路 (16)

5.1 直接式/矩阵式按键 (16)

5.2 键盘消抖电路 (18)

5.2.1 比较法消键抖动 (18)

5.2.2 积分法消键抖动 (18)

6 FPGA的顶层设计 (19)

6.1 层次化设计的概念 (19)

6.1.1 模块化 (19)

6.1.2 元件重用 (19)

6.2 生成组件符号 (20)

6.3 调用组件符号 (20)

6.3.1 建立项目 (20)

6.3.2 定义输入/输出端口与联线 (21)

7 结论 (23)

7.1 总结 (23)

7.2 建议 (23)

谢辞 (24)

参考文献 (25)

附录:系统各个模块的VHDL程序 (26)

用VHDL 设计LED 汉字滚动显示器

1 绪 论

1.1 本设计的研究背景和研究目的

受到体育场馆用LED 显示屏需求快速增长的带动,近年来,中国 LED 显示屏应用逐步增多。目前,LED 已经广泛应用在银行、火车站、广告、体育场馆之中。而随着奥运会、世博会的临近,LED 显示屏将广泛的应用在体育场馆以及道路交通指示中,LED 显示屏在体育广场中的应用将出现快速增长。

2007年,中国LED 显示屏市场需求额为49.5亿元,比2006年增长22.2%,这其中全彩显示屏需求额达到21.1亿元,占整体市场的42.6%,双色显示屏的需求额位于第二位,需求额为18.3亿元,占整体市场的40%,单色显示屏需求额为10.1亿元。

2008年奥运会的召开将会直接推动体育场用屏幕数量的快速增加,同时,由于奥运会用屏对LED 显示屏的质量要求也较高,因此,高端屏幕的使用比例也将会增加,数量和质量的提高带动LED 显示屏市场的增长。除了体育场馆之外,奥运会和世博会等重大活动召开的另一直接推动领域就是广告领域国内外的广告公司必然会看好奥运会和世博会带来的商机,因此必然会增加广告用屏的数量来提高自身收入,从而促进了广告用屏市场的发展。

奥运会和世博会等重大活动的召开必然会伴随着很多大型活动,政府、新闻媒体和各种组织都可能会在奥运会和世博会之间举办各种相关活动,某些活动可能需要大屏LED ,这些需求直接带动显示屏市场。

目前,国内从事LED 显示屏生产的企业众多,同时,受到外资企业LED 显示屏价格过高的影响,在中国LED 显示屏市场上多以本土企业为主。目前,本土LED 显示屏生产企业除供应国内需求外,还不断把产品出口到国外市场。而近年来,受到成本压力的影响,国际上一些知名的LED 显示屏企业也逐步把

生产基地移到了中国,

图1.1 2006-2010年中国LED 显示屏市场规模

西南石油大学本科毕业设计(论文)

如巴可在北京设立了显示屏生产基地,Lighthouse在惠州也拥有生产基地,Daktronics、莱茵堡都在国内设立了生产工厂。随着国际LED显示屏生产大厂不断把生产基地转移至国内,加之国内众多的LED显示屏本土企业,中国正在成为全球LED显示屏的主要生产基地。

因此研究研究LED汉字滚动显示屏的设计方法具有重要的理论和现实意义。

1.2 研究内容及方法

采用传统方法设计的汉字滚动显示器,通常需要使用单片机、存储器和制约逻辑电路来进行PCB(印制电路板)板级的系统集成。尽管这种方案有单片机软件的支持较为灵活,但是由于受硬件资源的限制,未来对设计的变更和升级,总是难以避免要付出较多研发经费和较长投放市场周期的代价。

随着电子设计自动化(EDA)技术的进展,基于可编程ASIC器件的数字电子系统设计的完整方案越来越受到人们的重视,并且以EDA技术为核心、的能在可编程ASIC器件上进行系统芯片集成的新设计方法,也正在快速地取代基于PCB板的传统设计方式。

如何使用EDA工具设计电子系统是人们普遍关心的问题。在EDA工具软件QuartusII的支持下,本设计通过了编译、适配和软件仿真验证。最后载入硬件系统证明了它的正确性。

1.3 设计的主要工作

本设计需要利用EDA工具软件QuartusII编写并调试系统的VHDL程序。并且每一个模块都在这个软件下进行了仿真。系统的VHDL程序编好过后先在实验室的EDA实验箱上下载调试,成功过后再根据电路焊接相应的电路板。最好将程序下载到制好的电路上验证。

用VHDL 设计LED 汉字滚动显示器

2 设计方案

2.1 方案一

本文系统的LED 点阵模块,共由16×32=512个LED 发光二极管组成。如何在该点阵模块上显示汉字是本文设计的关键技术。本文系统设计是采用一种32路动态分时扫描技术来实现的。具体方法是,将8个8×8数组的显示模块组合成两个16行16列的扫描结构并将其串联(如图2.1所示)。其行输入端与FPGA 内的只读存储器ROM 的16位数据输出端口相连;32个列控制端与两个4-16译码器A 、B 的输出相连;而译码器A 、B 的输入端和片选信号又与FPGA 内的列扫描控制模块的输出端口scan4-scan0相连。图2.1为方案的结构框图。

2.2 方案二

VHDL 程序设计的是硬件,他和编程语言的最大区别是它可以“并发执行”。本设计可以将LED 显示屏要的显示内容抽象成一个二维数组(数组中的‘1’对映点阵显示屏上面的亮点),用VHDL 语言设计一个进程将这个数组动态显示在LED

显示

图2.1 系统的结构框图

西南石油大学本科毕业设计(论文)

屏上,再利用另一个进程对这个数组按一定频率进行数据更新,更新的方式可以有多种。因为两个进程是同时进行的(并发执行),如果对数组中的汉字数据按滚动的方式更新,则可实现汉字的滚动显示。图2.2为该方案的原理图。

图2.2 方案二的原理框图

2.3 方案比较

方案一很容易实现,而且占用FPGA的资源较少。但是由于其实现方式的局限性,该方案只能实现汉字的滚动显示。方案二中将LED点阵抽象成了一个二维数组。可以设计一些比较复杂的算法来控制这个数组,使设计的系统不但可以滚动显示汉字,还可以扩展一些其它的显示效果。但是方案二中对数组的处理部分对FPGA芯片的资源消耗太大学校实验室里的EPF10K10LC84-4芯片只有576个逻辑单元远远不够设计要求。所以最终选择方案一。

用VHDL 设计LED 汉字滚动显示器

3 扫描控制模块

3.1 LED 点阵原理

以下为8×8点阵LED 外观及引脚图及其等效电路,只要其对应的X 、Y 轴顺向偏压,即可使LED 发亮。例如如果想使左上角LED 点亮,则Y0=1,X0=0即可。应用时限流电阻可以放在X 轴或Y 轴。

点阵LED 扫描法介绍

点阵LED 一般采用扫描式显示,实际运用分为三种方式: (1)点扫描 (2)行扫描 (3)列扫描

图3.1 8×8点阵LED 外观图

图3.2 8×8点阵LED 等效图

西南石油大学本科毕业设计(论文)

若使用第一种方式,其扫描频率必须大于16×64=1024Hz ,周期小于1ms 即可。若使用第二和第三种方式,则频率必须大于16×8=128Hz ,周期小于7.8ms 即可符合视觉暂留要求。此外一次驱动一列或一行(8颗LED )时需外加驱动电路提高电流,否则LED 亮度会不足。

3.2 汉字的存储

用动态分时扫描技术使LED 点阵模块显示图像,需要进行两步工作。第一步是获得数据并保存,即在存贮器中建立汉字数据库。第二步是在扫描模块的控制下,配合行扫描的次序正确地输出这些数据。获得图像数据的步骤是,先将要显示的每一幅图像画在一个如图3.3所示的被分成16×16共256个小方格的矩形框中,再在有笔划下落处的小方格里填上“1”,无笔划处填上“0”,这样就形成了与这个汉字所对应的二进制数据在该矩形框上的分布,再将此分布关系以32×16的数据结构组成64个字节的数据,并保存在只读存贮器ROM 中。以这种方式将若干个汉字的数据贮存在存贮器内,就完成了图像数据库的建立工作。

然后,依次对多汉字抽取像素信息,并按序排队存放起来,便可得到一个待显示数据序列。将这个序列存到ROM 中进一步通过寻址的方法来控制该数据序列的释放过程,就可实现在LED 发光二极管点阵上滚动显示多汉字信息的目的。

由图3.4可知,某一时刻能在显示数据序列中定位待显示数据的地址指针可用下式计算:

addr=n+m (式3.1)

图3.3 16×16LED 点阵模块

用VHDL设计LED汉字滚动显示器

图3.4 滚动显示多汉字信息的原理示意图

3.3 汉字的显示

第二步工作的步骤是:先在扫描模块的控制下,由地址线确定每次由ROM送出某一列的16个LED所要显示的汉字的控制字节数据,同时由扫描模块输出的5位扫描码经两个4-16译码器解码后决定相应的某一列可以被点亮,而另外31列都不能被点亮。该状态持续约0.4毫秒后,就接着进行下一行的扫描。当完成了一次32行的扫描后,也就完成了一帧画面的显示。重复上述过程不断修改ROM的地址区间的起始地址,转向下一幅画面的数据传送和显示。如此进行,就可以在LED点阵模块上滚动显示ROM中存储的汉字。

关键部分VHDL程序:

process(reset_n,clk_scan) --扫描信号的输出

begin

if(reset_n='0')then

cnt<=31;

elsif(rising_edge(clk_scan))then

if(cnt=cnt'high)then

cnt<=0;

else

cnt<=cnt+1;

end if;

if(cnt =cnt'high-1)then

flag_scan<='1';

else

flag_scan<='0';

西南石油大学本科毕业设计(论文)

end if;

end if;

end process;

process(reset_n,clk_scan,cnt)

begin

if(reset_n='0')then

scan<="ZZZZZ";

elsif(rising_edge(clk_scan))then

case cnt is

when 0 => scan <= "00000";

when 1 => scan <= "00001";

……

when 30 => scan <= "11110";

when 31 => scan <= "11111";

when others => scan <= "ZZZZZ";

end case;

end if;

end process;

----------------------------------

process(reset_n,clk_scan,cnt,x) --列数据的输出variable index:integer range 0 to L_SZ;

begin

if(reset_n='0')then

data<="0000000000000000";

elsif(rising_edge(clk_scan))then

index:=((cnt+x) mod L_SZ);

data<=ledsj(index);

end if;

end process;

用VHDL设计LED汉字滚动显示器

3.4 滚动速度的控制

将LED点阵看成一个滑窗。通过这个“滑窗”每次能“看到”32个存储单元(2个汉字长度)的信息。只读存储器ROM中链式的存放了若干个汉字的点阵信息,“滑窗”在这若干个汉字点阵信息上面滑动,我们通过“滑窗”看到的就是汉字的滚动显示。

在用VHDL编程的时候,可以定义一个变量x作为“滑窗”在ROM上的起始地址,设计一个进程按一定的频率对x进行累加,再设计一个进程将以x为起始地址的长度为32的ROM中的区域动态显示在LED点阵中。显然x累加的速度决定了汉字的滚动速度。

关键部分的VHDL程序:

process(reset_n,clk_scan,flag_scan) --x的控制进程

variable cntx:integer range 0 to 50;

begin

if(reset_n='0')then

cntx:=0;

x<=0;

elsif(rising_edge(clk_scan))then

if(flag_scan='1')then

if(cntx=n×8 or cntx=cntx'high)then --通过n来控制cntx的计数范围

cntx:=0;

else

cntx:=cntx+1;

end if;

if(cntx=n×8)then --通过n来控制x的增加

if(x=x'high)then

x<=0;

else

x<=x+1;

end if;

end if;

西南石油大学本科毕业设计(论文)

end if ;

end if ;

end process ;

此进程根据定义的信号n 来控制x 递增的速度,n 由外部的按键控制。n 的范围为0~7代表了汉字的不同的滚动速度。当n 为0时汉字滚动的速度最快,n 为7时LED 点阵上显示的汉字静止。

3.5存储模块

3.5.1 使用参数化组件LPM_ROM 来实现

为提高系统的集成度,本设计将点阵显示的图像数据库建立在EPF10K10的片内ROM 中。Flex10k10中共有3个嵌入式数组块(EAB),每块大小均为2k ,可构成2048×1,1024×2,512×4,256×8等4种类型RAM/ROM 中的任意一种。更大容量的内存可由多个EAB 组合在一起。例如两个256×8的ROM 块可组成一个256×16的ROM ,两个512×4的ROM 块可组合成一个512×8的ROM ,如果需要,可以将所有的EAB 级联成一个RAM/ROM 。级联是QuartusII 软件自动完成的。

本设计可以选择2048×16的结构,用来构成一个能保存128个汉字的只读存储器ROM 。在FPGA 中建立片内只读存储器ROM ,是通过使用美国Altera 公司的EDA 工具软件QuartusII ,并调用其中的参数化组件LPM_ROM

来实现的。具体步骤是,

图3.5 Symbol 对话框

用VHDL 设计LED 汉字滚动显示器

首先在MAXPLUSII 的图形编辑器中双击空白处,便会出现如图3.5所示的“Symbol ”对话框。点击该对话框的“Mega Wizard Plug-In Manager ”按钮,并在出现的对话框中选择storage ->LPM_ROM ,就会出现如图 3.6所示的“Mega Wizard Plug-InManager-LMP ROM ” 系列对话框。在该系列对话框中设置输出数据线宽度为11,存储单元的数量为2048。并完成相应的配置环节就获得了要求的256字节的只读存储器ROM 。只读存储器ROM 中的初始化数据就是本系统的汉字数据库数据。

该初始化数据的建立是在上述的LMP_ROM 设计过程中通过指定一个后缀名为.mif 的初始化文件来实现的。该文件是设计者事先在文本编辑器中建立的,其VHDL 格式和关键代码示意如下: WIDTH=16;———数据线的宽度为16位

DEPTH=2048;———有2048个字节存储单元,对应11根地址线宽度 ADDRESS RADIX=HEX ;———以16进制表示 DATA RADIX = HEX ; CONTENTBEGIN

00 : 2f ;———以16进制表示的ROM 内的各字节单元的地址和保存的数据 01 : 6c ; 02 : f8;

图3.6 “MegaWizard Plugl-in Manager_LMP ROM ”对话框

西南石油大学本科毕业设计(论文)

……

fd :ee;

fe :72;

ff :00;

END;

3.5.2 用FPGA内部的逻辑单元设计一个ROM

本系统也可以定义一个常数数组来存储汉字信息。数组的下标对映ROM的地址。下面是一个容量为L_SZ×16的ROM内存的例子,常数L_SZ决定了ROM的地址空间,ROM的每一个数据单元存储16位数据,可以很方便的驱动16×16的LED点阵。定义这个ROM的时侯必须初始化全部存储单元。

type array_2 is array(0 to L_SZ)of std_logic_vector(15 downto 0);

constant ledsj:array_2:=(

("0010000000000000"),

("0010001111111111"),

……

……

("0010001000000000"),

("0000000000000000"));

两种方案存储汉字信息,以及输出数据驱动LED点阵的原理和相差不大,第一种方案是利用了FPGA芯片中的嵌入式数组块,可以生成大容量的ROM来存储较多的汉字信息。第二中方案生成的ROM容量受到了FPGA芯片内部逻辑单元数量的限制,但是它的操作性更好。由于本系统只是验证可行性的一个设计,不需要建立大的字库所以采用第二种方案。

用VHDL设计LED汉字滚动显示器

4 分频电路

4.1 解决毛刺

FPGA与单片机相比,一个非常明显的优势就在于它的高速性。但是因为很多外围器件的驱动需要低频的时钟(若时钟频率太高,则键盘扫描容易出错,数码管会闪烁和不稳定等),所以需要用到分频电路。

该电路主要包含有一个计数器模块和一个译码输出模块,该电路是通过计数器模块实现分频的。比如要从12MHz的全局时钟得到100Hz的时钟,必须进行120000倍分频。但是这么大的分频需要仿真时间很久。仿真是一般采用小的分频来替代,真正下载到电路上时,就需要采用120000分频了。

值的注意的是译码器的输入采用了寄存器锁存输出,这是为了消除毛刺。多输入的组合逻辑电路中,默写输入信号在理想情况下应该同时发生变化,但由于延迟路径不同造成这些输入信号发生变化的时间有微小差别(门延迟时间量级),这是得到的是输出信号就会有毛刺,这些毛刺有可能会给下一级电路带来,使得整个系统运行不稳定。因此,为保证系统的稳健性,一般情况下,都应该给输出信号去毛刺,使之变得干净。在设计中,译码的输入是计数器的输出,计数器各级输出延迟是不一样的,因此译码器输出clk_scan信号波形就会有毛刺(如图4.1)。

图4.1 没有消除毛刺的仿真结果

4.1.1 改变输入信号消除毛刺

为了消除毛刺带来的影响,可以设法使得组合逻辑电路的输入每一时刻只有一个发生变化。对于本电路可以把计数器从二进制码计数器改为格雷码计数器。格雷码计数器的特点是相邻的计数值只有一位不相同,即每次计数值改变时,只有一位信号会发生变化。这一点就可以保证没有毛刺产生。

西南石油大学本科毕业设计(论文)

4.1.2 通过D触发器消除毛刺

把有毛刺的信号通过时钟采样,即通过一个D触发器也可以消除毛刺。本设计就是使用这种方法。这种方法的缺点是信号通过一个D触发器后,就延迟了一个时钟周期。对于本设计这种延迟是允许的,然而在有些电路中延迟是不允许的。该电路的仿真结果如图4.2所示。

图4.2 消除毛刺后的仿真结果

4.2 关键部分VHDL程序

process(clk) --计数模块

begin

if(rising_edge(clk))then

if(cntx=480)then

cntx<=0;

else

cntx<=cntx+1;

end if;

end if;

end process;

--------------------------------

process(cnt,clk) --译码输出

begin

if(rising_edge(clk))then --寄存器锁存输出,以消除毛刺if(cnt>=cnt'high/2)then

clk_scan<='1';

else

clk_scan<='0';

合肥工业大学本科毕业设计论文工作实施细则

合肥工业大学本科毕业设计(论文)工作实施细则 1 总则 本科毕业设计(论文)是本科人才培养方案的重要组成部分,是实现人才培养目标的最后一个综合性教学实践环节,是培养学生创新思维,提高学生实践能力的有效途径,是学生综合运用所学知识、理论及各种能力解决学术和实际问题的重要方式,也是对各专业教学目标、教学过程、教学管理和教学效果的全面检验。为做好我校本科毕业设计(论文)工作,特制订本细则。 2 毕业设计(论文)选题原则和要求 2.1 选题原则 2.1.1专业性原则。毕业设计(论文)的选题要符合专业培养目标和教学基本要求,要有明确的针对性,切忌题目立意过大,内容空泛。要有利于巩固、深化学生所学的知识,使学生在专业知识应用方面得到比较全面的训练;要有利于培养学生的创新思维和创新能力;要有利于培养学生独立思考、独立工作的能力,要有利于培养学生的科研能力和技术能力。 2.1.2实践性原则。毕业设计(论文)的选题应尽可能结合生产实践、社会实践和科研实践,鼓励学院与科研院所、大型企事业研发和生产单位联合拟定选题,促进产、学、研的结合,增加课题的应用价值。符合要求的可采取校内外联合指导的方式。要求结合生产实践、社会实践和科研实践的题目占80%以上。工科类专业的题目要密切联系科研、生产、实验室建设

或社会实际,

毕业设计应占60%以上;理科类专业的题目应来源于基础研究、应用基础研究和学科前沿;文、经、管、法类专业的题目原则上要反映社会、经济、文化实际问题和热点问题。 2.1.3创新性原则。毕业设计(论文)的选题应突出创新性,充分发挥学生的专长和创造潜能。要结合学科创新、技术创新和具体产品创新,注重新技术、新理论、新方法的运用,使论文题目在难度适中的情况下尽可能地反映科技创新和社会生产创意的需要。 2.1.4可行性原则。毕业设计(论文)的选题要具有可行性,深度、广度和难度要适当,符合本科生知识、能力、水平和工作条件的实际。要切实满足本科毕业论文工作量的要求,同时避免过多和过少两个极端。保证学生通过努力能够按时完成任务,较为复杂、难度较大的题目要取得阶段性成果。 2.1.5多样性原则。毕业设计(论文)的选题要覆盖到专业的各个方面,避免千篇一律。要贯彻因材施教的教育方针,鼓励学生根据兴趣在教师指导下自拟题目,并创造性地开展工作。题目每年的更新率要大于75%,不得有四年以上的旧题。 2.2 选题要求 2.2.1 毕业设计(论文)选题由指导教师拟定。指导教师拟定毕业设计(论文)题目后,经系(教研室)集体讨论认定,由系(教研室)主任签字确定。题目数量原则上应大于学生人数的20%。 2.2.2 选题工作实行师生双向选择。双向选择未能落实的由专业教研室负责协调落实。每位教师指导的学生

APP游戏设计毕业设计(论文)

超神学院毕业生 毕业设计 题目: APP游戏设计 分院:通讯与信息 姓名: Loading 学号: 000 专业: LOL 指导老师:提莫 毕业论文答辩时间: 2014.6.9

本课题APP游戏设计,通过该课题,将红军长征的经过、抗战历史、红色文化、历史背景等内涵完完全全的演绎展示,让人们轻松而清晰地了解红色文化。采用的开发工具是Photoshop、Adobe Illustartor、Venture Capital。随着科技的发展,现在手机的功能也越来越多,越来越强大,未来几年,手机游戏必将深入人心,渗透人们生活的点点滴滴。 关键词:APP游戏设计,Photoshop、Adobe Illustartor、Venture Capital

This topic of APP games design through the subject, make people know more about the long march of the Red Army, the Red Army culture, the historical background about the Red Army,that it is clear and easy to understand the Red Army culture. Development tools are used byAdobe Illustrator, PhotoShop, Venture Capital. With the development of science and technology, mobile phone is now more and more features, more and more powerful, the next few years, mobile phone games will win support among the people, infiltration of people life bit by bit. Key word:The APP games design,Photoshop、Adobe Illustartor、Venture Capital

哈尔滨工业大学本科毕业设计(论文)工作实施细则

哈尔滨工业大学本科毕业设计(论文)工作实施细则 毕业设计(论文)是高等学校实现人才培养目标的重要实践性教学环节,是学习、实践、探索和创新的教学过程,是提高和体现本科教育质量的关键环节之一。为规范过程管理,不断提高本科生毕业设计(论文)质量,我校在《哈尔滨工业大学本科生毕业设计(论文)的若干规定》的基础上,针对实施中的具体问题,特制定本细则。 一、毕业设计(论文)各环节工作要求毕业设计(论文)由选题、开题、中期检查、结题检查(论文评阅)和答辩等环节组成,有关要求如下: (一)毕业设计(论文)选题 1.选题要符合本专业的培养目标及教学要求,研究内容应体现专业理论、知识和技能的应用,使学生在规定时间内得到系统的科研训练。矚慫润厲钐瘗睞枥庑赖。 2.选题应有一定的先进性、实用性和创新性,尽量与工程实践、科学研究、经济发展相结合,体现新知识、新技术、新方法的应用。学生参与科研课题或实际项目,可激发科研兴趣和热情,发挥学生的主观能动性,培养创新意识和能力。聞創沟燴鐺險爱氇谴净。 3.贯彻“以学生为本”的人才培养理念,在体现专业培养目标的基础上,鼓励选择与学生就业、深造、兴趣相关的设计题目,激发学生的主动性和创新潜能。残骛楼諍锩瀨濟溆塹籟。 4.题目的深度、难度和工作量要适当,要体现因材施教的原则,使学生在指导教师的指导下经过努力能在规定时间内完成任务,又留有充分发挥、扩展的空间;要引导学生综合运用所学的知识和技能研究问题、解决问题,注重培养学生的独立工作能力,有适当的阶段性成果。酽锕极額閉镇桧猪訣锥。 5.由多个学生共同参加的项目或与研究生协作进行的课题,必须明确每个学生独立完成的工作内容和要求,以保证每人都受到较全面的训练,具有各自的特点(避免若干人合做一个题目)。彈贸摄尔霁毙攬砖卤庑。 6.毕业设计(论文)课题一般由指导教师填写《哈尔滨工业大学毕业设计(论文)任务书》(附件 1-1,以下简称任务书),说明意义、目的、要求、主要内容和技术指标及进度安排,交系或教研室(研究所)审查,通过审查后系或教研室(研究所)主任签字并报院(系)。謀荞抟箧飆鐸怼类蒋薔。 7.学生在外单位进行毕业设计(论文),可由外单位拟定课题,但审题工作需按第 6 条的规定进行审查和把关,评分标准按学校的规定执行。厦礴恳蹒骈時盡继價骚。 8.选题、审题工作应在毕业设计(论文)工作开始前完成。任务书一经确定,原则上指导教师不得随意更改,如因特殊情况确需更改,指导教师须提出书面报告说明变更原因,由系或教研室(研究所)审查通过后报院(系)批准。茕桢广鳓鯡选块网羈泪。 (二)毕业设计(论文)开题 1.由系或教研室(研究所)组织对每个学生的课题前期准备情况进行开题检查,每

大学生毕业论文(设计)要求

大学生毕业论文(设计)要求 毕业论文(设计)的主要内容应包括文献综述、任务提出、方案论证、设计思想、设计计算、实验结果、技术分析、结论等。实验研究类的题目要有相应的系统结构图,毕业论文(设计)的基本要求要符合学校本科生毕业论文(设计)的撰写规范。 学生完成毕业论文(设计)书面材料包括: 1.题目:应能概括整个论文最重要的内容,恰当、简明、引人注目。题目应力求简短,一般不宜超过30字。需要中英文。 2.中文摘要:论文第1页为内容摘要,约300字左右。应说明工作目的、研究方法、成果和结论。要突出本论文的创造性成果或新的见解,语言力求精练。为了便于文献检索,应在本页下方另起一行注明本文的关键词(3至5个)。3.英文摘要:论文第2页为英文摘要。上方应有题目,内容与中文摘要相同。4.目录:应是论文的提纲,也是论文组成部分的小标题。目录应独立成页,包括论文的全部页码。 5.前言:在论文的开头,一般要概括地写出作者意图,说明选题的目的及意义,指出论文写作的范围。 6.正文:是学位论文的主体,着重反映论文研究工作范畴,研究方法。在正文中应将调查、研究中所得的材料和数据进行加工整理和分析研究,提出论点,要突出创新。正文一般可包括以下几个方面: (1)研究内容 (2)研究方法(实验方法) (3)结果 (4)讨论 正文要求论点正确,推理严谨,数据可靠,文字精练,条理分明。 7.参考文献:只列主要的及公开发表过的,按中文引用的顺序附于文末。8.致谢:对给予各类资助、指导和协助完成研究工作以及提供各种对论文工作有利条件的单位及个人表示感谢。致谢应实事求是。 9.学位论文完成后,在最后加上指导教师评语、论文评阅人评语、答辩委员会意见。

(完整版)安卓游戏毕业设计论文2878264

学习数学是为了探索宇宙的奥秘。如所知,星球与地层、热与电、变异与存在的规律,无不涉及数学真理。如果说语言反映和揭示了造物主的心声,那么数学就反映和揭示了造物主的智慧,并且反复地重复着事物如何变异为存在地故事。数学集中并引导我们地精力、自尊和愿望去认识真理,并由此而生活在上帝地大家庭中。正如文学诱导人们地情感与了解一样,数学则启发人们地想象与推理。 大连民族学院本科毕业设计(论文) 基于安卓的"经营冷饮店"游戏设计

摘要 随着社会与科技的发展 人们越来越注重移动、便携与方便 而随着这股潮流的发展 手机应用游戏应运而生 安卓系统作为一个市场占有超过50%的手机平台 其应用游戏的发展非常迅猛 本论文提出一款基于安卓系统的"经营冷饮店"游戏设计并对其具体开发与实现进行了剖析 在游戏制作过程中 实现了用户与手机之间的良好的人机交互 使用户更好的参与到游戏中去 此款游戏为模拟经营类游戏 其内容主要包含"游戏开始" "选择游戏难度" "玩家进货、定价" "游戏主画面" "游戏结束"这5个模块 主要介绍了每个模块的功能 设计方法 模块和模块之间的逻辑结构和数据处理

本论文主要对此款游戏的总体设计 需求分析和内部数据的具体处理和计算进行了详细的阐述 并对游戏的具体设计与实现进行了简要的说明 在一定安卓理论的基础上 介绍了部分关键代码与关键函数的应用 对游戏中应用到的相关计算机图形学实现应用动画的过程做了具体介绍最后展示成果截图并对其进行简单介绍 然后论文进行整体总结与归纳 关键词:游戏;安卓;人机交互 The Subject of Undergraduate Graduation Project (Thesis) of DLNU Abstract With the development of society and technology growing emphasis as a market share over 50% of the mobile phone system the application of Android (1) 现在第几天 NowdateNum 1 总资金 sum_money 1000 甜甜圈售价 donut_money

(完整版)工作细则毕业设计论文

国际商务外语学院本科毕业论文实施细则 毕业论文是对毕业生所学的专业基础知识和研究能力、自学能力以及各种综合能力的检验。毕业论文的目的是要进一步巩固和加强学生的基本知识的掌握和基本技能训练,加强对学生的多学科理论、知识与技能综合运用能力的训练,加强学生创新意识、创新能力和获取新知识能力的培养,鼓励毕业生运用所学知识独立完成课题,培养其严谨、求实的治学方法和刻苦钻研、勇于探索的精神。通过做毕业论文的形式,可以使学生在综合能力、治学方法等方面得到锻炼,使之进一步理解所学专业知识,扩大知识面。 毕业论文具有学术论文性质,应能表明作者在科学研究工作中取得的新成果或提岀的新见解,是作者的科研能力与学术水平的标志。毕业论文具有学术论文所共有的一般属性,应按照学术论文的格式写作。 毕业论文工作的组织与实施 1.毕业论文工作由二级学院统一领导,各专业主任负责具体实施。 2.毕业论文工作领导小组由分管副院长和各专业主任组成,工作人员还包括教学秘书和指 定辅导员

3.分管副院长负责二级学院层面的论文指导工作,包括组织召开论文工作例会,组织论文工作小组进行导师资格的审定、开题报告的审定、论文中期检查、成绩审定等工作并提交学院论文工作总结报告。 4.专业主任负责论文工作各环节的实施、监督、开题报告的审查、中期检查的落实、成绩的审核、各专业中期检查报告、论文总结报告的撰写等方面。 5.各专业主任需为毕业生组织开设论文写作系列讲座,一般不少于 3 次。讲座内容至少应涉及论文选题、论文的内容和结构组织、论文的学术规范和格式要求。 6.院学术委员会负责对成绩的审核及论文指导各环节的质量把关,并提出建议,经院论文工作领导小组审议通过。 7.教学秘书负责论文工作过程中的各种通知工作和论文材料收缴,归档和成绩上报等。 8.辅导员老师负责配合论文工作小组进行工作,通知和组织学生开会、答辩等事宜。 、毕业论文的指导教师 学生撰写毕业论文必须有导师指导,导师必须具有学校规定的导师资格。 一)指导教师的基本条件 1.论文指导教师应该具有中、高级职称,业务水平较高,工作责任心强,有一定实践经验。指导教师由校二级学院统一聘请。聘请指导教师以本校教师为主,也可聘请其他高等院校的符合条件者担任。聘请其他高校教师担任导师的必须同时指定本校的教师配合有关的论文工作作为论文的共同指导者。 2.指导教师一经确定,不得随意更换。如确因特殊情况需要更换,应遵照相关规定办理。 3.导师指导可以采用个别指导、集体指导、电话指导、Email 指导等多种形式,其中当面指导至少 3 次(论文指导开始时一次,开题报告定稿前一次,论文定稿前一次)。 4.具有高级职称的指导老师每人以指导4-8 名学生为宜,具有中级职称的导师以指导 3-4名学生为宜;有特殊情况时,或者论文指导效果特别好的老师指导学生人数可以适当增加,但是

江苏大学毕业设计及论文基本要求

本科毕业设计要求: 1、英文文献翻译,文献的原文由老师提供,要求对英文文献中的题目、摘要、正文、图表 名称进行原意翻译,文献中的作者、公式、图表以及参考文献不需要翻译。翻译时不可通过翻译工具进行全文翻译,仅能使用翻译工具进行初步翻译再针对原文意思进行修改,必须保证译文具有一定的可读性和准确性。建议:通读全文,了解一定意思之后再进行翻译,专业词汇无法准确翻译,推荐使用CNKI翻译助手,网址为https://www.doczj.com/doc/4b9898873.html,/。 2、综述或读书笔记:即经过广泛阅读毕业设计相关资料、书籍和文献之后,针对毕业设计 内容的背景、发展现状、主要技术及应用、理论基础等做相应总结,撰写出一份综述或读书笔记。必须在最后给出阅读的参考文献,同样,内容的编排需要具备一定的可读性和准确性。要求篇幅8-10页。 3、任务书:由老师下达,学生提交正确的专业、班级和姓名。 4、针对毕业设计题目,进行一定的仿真、硬件设计或实验验证,每一个毕业设计必须要有 相应的结果,或是仿真模型和仿真波形结果,或者硬件系统设计原理图PCB,或者最终的实验平台搭建和实验结果,或者完成相应的软件代码编写,根据各自的题目,在毕业完成最后必须具有一定的结果呈出。 5、所有的英文文献翻译、综述以及毕业论文的撰写必须规范严谨,请参考下页给出的示意 图,所有的图表名称应比正文小一个字体,如正文为小四字体,则图表的名称为五号字体,并且要求图中和表中的文字尽量不要超过图表名称的字体大小。另:所有论文编写请统一采用office word,不要采用WPS,排版会有很大问题,所有的公式请采用公式编辑器MathType6.0及以上的安装版,画图和制图均使用office visio07或以上版本,软件请大家到网上下载,或者问老师拷贝安装。 6、请大家学会搜索和下载参考文献,进入学校图书馆网址https://www.doczj.com/doc/4b9898873.html,,在“常用资 源里面”的“CNKI知识网络数字平台”和“万方知识服务平台”两个数据库里面,可按照各自毕业设计题目中的关键词搜索相关期刊论文和硕士博士论文,进行阅读参考。如有疑问和不懂的地方,及时与老师沟通。 7、毕业设计期间纪律:(1)每周进行一次汇报,汇报各自研究进展和取得的阶段性成果; (2)请大家养成自觉和好问的习惯,有不会的地方及时沟通联系老师;(3)若要出去短暂实习或找工作,必须明确告知老师并请假,汇报可采用邮件或者电话或者QQ的形式;(4)原则情况下不接受全学期在外实习,如果需要毕业设计期间去工厂实习,必须办理相关手续,并且毕业设计由工厂提供,老师只负责监督和把关,由此造成的不良后果,请自行负责;(5)若出现不遵守纪律者,毕业设计出现不及格一概与老师无关!8、毕业设计具体和时间节点: (1)英文文献翻译,第4周周三前; (2)中期检查审核,第9-10周; (3)论文初稿,第13-14周; (4)论文定稿,第14-15周; (5)答辩时间,6月5日~6月10日。

基于android的游戏开发毕业设计论文

基于a n d r o i d的游戏开发毕业设计论文 Coca-cola standardization office【ZZ5AB-ZZSYT-ZZ2C-ZZ682T-ZZT18】

毕业设计(论文) 基于Android平台2048游戏开发教学单位:计算机学院 专业名称:软件工程(游戏与数字媒体) 学号: 学生姓名:高振东 指导教师:宋喜佳(讲师) 指导单位:计算机学院 完成时间:2016年4月30日 电子科技大学中山学院教务处制发

基于Android平台2048游戏开发 【摘要】在生活节奏不断加快的现代社会,轻松的益智游戏对缓解生活压力调节情绪具有重要的意义。《2048》这款小游戏是一款流行的。第一款《2048》小游戏是由Gabriele Cirulli首度发布在GitHub上。《2048》是当时基于《1024》和《小三传奇》这两款的玩法而开发的新型数字游戏,而游戏设计初衷是一款益智类的游戏,其特点在于轻松,简单,因此,开发要求做到各项功能要完备、操作要简便、易学易用。现在开发出来的版本有普通版、六边形版、朝代版、3D 版、后宫版等等。 本文采用 JAVA语言开发了 2048 小游戏,通过Android平台实现了 2048 的基本运行,本着简单,易于操作的原则和缓解生活压力、降低生活节奏的初衷,游戏中没有繁多的按钮,也不用特别复杂的操作,只需动动手指,即可操纵自如。 游戏经过图形界面的处理,以不同的颜色显示不同的数字,并拥有了最高成绩记录,撤销上一步,重置游戏的各项功能。对于游戏的逻辑实现部分进行测试,测试结果正常,目前没有发现异常。但是游戏界面可能有些简单,日后可以通过美化界面来获得更好的游戏体验。 【关键词】游戏;益智;数字

游戏设计毕业论文15篇

游戏设计毕业论文15篇 游戏设计毕业论文 摘要:动画以及游戏的场景造型中更需要美感,因而设计师需要在这方面加大关注点,场景设计的关键是需要展现出故事情节,在完成戏剧冲突和刻画人物上面有所侧重。所以创造时,好看的场景必然会带动整部作品的基调。一个优秀的游戏场景设计需要有着精美的背景,所以要具备娴熟的绘制,更要有细致的前期设计。 关键词 游戏设计毕业设计论文设计 游戏设计毕业论文:手机游戏开发中软件工程的设计与改进 摘要现阶段计算机互联网的不断发展,使得网络游戏层出不穷。同样道理,手机等移动通信终端走进了千家万户,手机游戏具有十分广阔的市场。目前手机性能处于不断完善过程中,手机游戏需求逐渐呈现出增加趋势。在手机游戏开发过程中,本身从性质上讲,手机游戏隶属于软件工程,因而需要软件项目团队的充分参与。本文浅要分析手机游戏开发阶段,如何加强软件工程设计及改进,并浅谈自身关于软件开发相应方法。 软件工程手机游戏开发设计改进 现阶段手机在我国实现广泛普及,手机游戏越来越受到大众群体欢迎。尤其是目前手机在性能方面逐步开始完善,手机游戏市场得到十分迅速发展。上述背景下,只有注重加强软件工程团队建设,才能充分有助于手机游戏开发工作顺利开展。尤其是对于手机游戏软件而言,只有充分借助于科学软件工程技术,才能有效规避软件危急,同时减少成本消耗,使手机游戏开发贯彻落实。为此,有必要结合相关实践,加强手机游戏项目建设过程中,软件工程技术的应用和探索。 1 项目准备及项目需求分析

在实际软件开发阶段,需求管理工作尤为关键,该工作需自始至终融入到全部软件开发阶段。对于手机游戏开发而言,本身不具备特定客户需求,因为手机游戏最终为广大用户服务,用户通常是通过移动互联网等平台进行相应游戏的下载。为此,通常要分析客户实际要求,也就是等同于游戏企划本身需求。对于手机游戏企划而言,需要指定相应企划方案,然后才能进行开发计划制定工作。通常来说,只有当企划过程中存在一定需求变化,亦或者由于技术方面出现问题,游戏企划才会在需求方面做出相应调整。项目准备过程中,如果不采用相关软件技术,只需要游戏负责人做好分配工作即可。对于应用软件技术项目开发而言,还应做好计划策略工作。例如,采用TSP方法过程中,应对项目进度进行严格遵循,并对进度加强检查。具体来说,应做好下面几点: (1)开发人员应加强沟通交流,将实际问题充分解决。 (2)鉴于手机游戏属于规模很小软件,因而尽量避免重新进行任务分配。 (3)做好项目计划总结等方面工作。 (4)做好应用计划策略方面工作。 2 系统设计阶段 对于手机游戏开发而言,还应首先做好相应构架,通常构架需根据游戏策划进行,同时也应加强与程序员之间沟通交流。实际手机游戏开发阶段,鉴于其易受到多方面因素影响,因而设计基本不可能一次成功,因而系统设计本身需要不断持续进行,这样才能避免需求变化带来的诸多不利影响。如果设计始终一成不变,必然不会是真正好的设计。例如,采用XP方法过程中,遵循简单设计原则进行。为此针对RUP使用,开发人员先要加强构架设计工作,构架设计需遵循本质需求,并尽量做到设计简单的同时使其充分发挥实效。从本质上讲,RUP剪裁过程中,本身已属于软件设计再开发工程。以某款手机游戏为例,该游戏设计阶段,总共开发周期时间达到4周,从迭代周期上讲,主要包括下面四个阶段:第一周期,主要针对于程序框架构建工作,主要是需加强角色模型构建。第二周期,主要针对于手机程序,然后对程序进行地图增设,并进行地图碰撞检测工作,并适当增设相应角色模型,促使人物能够自由行动。第三周期,根据实际情况适当添加电脑控制,并进行相应逻辑判断工作,并对触发条件加以科学设定。第四周期,适当添设菜单及对话框,并开展记录程序编写工作。

毕业设计论文工作管理办法

毕业设计论文工作管理办法(试行) 毕业设计(论文)是高等学校本科教学计划的重要组成部分,毕业设计(论文)是学生在毕业前的最后学习和综合训练阶段,是培养学生实践能力、创新意识和科研能力的重要环节,是学生毕业及学位资格认定的重要依据。为了做好该项工作,特制定本办法。 一、毕业设计(论文)的组织管理 毕业设计(论文)工作在主管校长的统一领导下,实行学院(教务处、各分院、专业教研室)三级管理。各分院和专业教研室依据本《办法》要制定相应具体的检查管理制度,并认真执行。 (一)教务处的职责 1、统一管理毕业设计(论文)工作,通过制定毕业设计(论文)工作的有关政策、制度及规定,对毕业设计(论文)工作进行宏观指导和检查。 2、协调学院内有关部门,为毕业设计(论文)工作的顺利进行提供场地、设备、经费等方面的保证。

3、组织学院级毕业设计(论文)工作检查组,负责对毕业设计(论文)的选题、中期检查、答辩等教学环节进行质量监督和检查。 4、审批答辩委员会和答辩小组。进行毕业设计(论文)工作的考核、总结,组织经验交流和质量评估等工作。 (二)分院的职责 1、贯彻执行学院关于毕业设计(论文)的规定,根据本分院的专业特点,制定毕业设计(论文)工作管理的实施细则。 2、成立分院毕业设计(论文)工作领导小组,定期检查、指导各专业毕业设计(论文)工作的进度和质量,尤其要做好选题、开题、中期检查和答辩等环节的检查。 3、组织成立答辩委员会和答辩小组。 4、做好毕业设计(论文)工作总结及自评工作,并及时报教务处。 5、组织做好毕业设计(论文)成果材料的存档工作。 (三)专业教研室的职责

1、在分院的领导下,负责本专业毕业设计(论文)工作和学生的日常管理工作。 2、根据实际情况,制定或修订本专业《毕业设计(论文)大纲》和《毕业设计(论文)指导书》,供学生参考。 3、组织安排好选题、开题、中期检查和结题验收工作。 4、以《毕业设计(论文)任务书》形式向学生下达工作任务。确定指导教师,为指导教师和学生提供适当的材料、实验条件、调研途径等。 5、检查、督促教师加强对学生的考勤与指导,定期组织教师汇报、讨论设计(论文)工作的进展情况及存在的问题,研究解决办法。把握毕业设计(论文)工作的进度和质量。 6、组织毕业设计(论文)的评阅、答辩和成绩评定。 7、做好毕业设计(论文)的工作总结及自评工作。 二、毕业设计(论文)工作要求 (一)对指导教师的基本要求 1、毕业设计(论文)教学实行指导教师负责制,指导

安卓游戏毕业设计论文

人生最大的幸福,是发现自己爱的人正好也爱着自己。 大连民族学院本科毕业设计(论文) 基于安卓的"经营冷饮店"游戏设计 摘要 随着社会与科技的发展 人们越来越注重移动、便携与方便 而随着这股潮流的发展 手机应用游戏应运而生 安卓系统作为一个市场占有超过50%的手机平台 其应用游戏的发展非常迅猛 本论文提出一款基于安卓系统的"经营冷饮店"游戏设计并对其具体开发与实现进行了剖析 在游戏制作过程中 实现了用户与手机之间的良好的人机交互 使用户更好的参与到游戏中去 此款游戏为模拟经营类游戏 其内容主要包含"游戏开始" "选择游戏难度" "玩家进货、定价" "游戏主画面"

"游戏结束"这5个模块 主要介绍了每个模块的功能 设计方法 模块和模块之间的逻辑结构和数据处理 本论文主要对此款游戏的总体设计 需求分析和内部数据的具体处理和计算进行了详细的阐述 并对游戏的具体设计与实现进行了简要的说明 在一定安卓理论的基础上 介绍了部分关键代码与关键函数的应用 对游戏中应用到的相关计算机图形学实现应用动画的过程做了具体介绍 最后展示成果截图并对其进行简单介绍 然后论文进行整体总结与归纳 关键词:游戏;安卓;人机交互 The Subject of Undergraduate Graduation Project (Thesis) of DLNU Abstract With the development of society and technology growing emphasis has been on mobil portable and convenient.With this trend mobil application game come into being.Android System as a market share over 50% of the mobile phone system the application of Android has been developed very rapid. This paper presents an Android game called "The Cold Drink shop" and its specific development and realization are explained. The game is good human-computer interaction and the users will be better involved in the game. This game is business simulation game its topics include "the start of the game" "select the game difficulty" "player purchase and pricing" "the main game screen" "the end game" of these five modules. It is introduction the function of each module the design method and logical structure and data processing modules and between modules. This thesis focuses on the overall design of this game needs analysis and internal data processing and calculation in detail. And game specific design and implementation of a brief description. On the basis of the theory of Android it is introduction of the application of the keys code and functions. Specific

毕业设计学生工作手册Word模板

××××学院 毕业设计(论文)学生工作手册 拟定题目: 姓名_______________ 学号_______________ 院(系)_______________ 专业_______________ 指导教师_______________ 年月日 说明 1、在毕业设计(论文)工作期间,毕业设计(论文)工作手册中的“对学生的要求”和“撰写规范”学生应认真学习并严格遵照执行。 2、毕业设计(论文)工作手册中的“学生毕业设计(论文)工作进展及教师指导情况记载”是学生“问”与教师“答”的记录表,是学生毕业设计(论文)的附件之一。学生应保管好此手册,毕业设计(论文)工作完成后,装入毕业设计(论文)资料袋内。 3、学生在毕业设计(论文)工作期间,约见指导教师需携带此手册,将指导教师的指导内容记录下来,便于做好毕业设计(论文);对遇到的问题,研读参考资料的体会、疑问等需记录在“学生毕业设计(论文)工作进展及教师指导情况记载”上,约见指导教师时便于向老师请教。记录是否认真、仔细是指导教师考核学生对毕业设计(论文)学习

态度的重要依据。 毕业设计(论文)的基本教学要求 1、培养学生综合运用所学知识、独立分析和解决实际问题的能力。 2、培养学生的创新意识和实践能力,使学生获得科学研究的基本训练。 3、引导学生运用马克思主义的基本原理和思想方法,培养学生理论联系实际的工作作风和严肃认真的科学态度。 4、进一步训练和提高学生的工程设计能力、理论计算能力、实验研究能力、社会调查能力、经济分析能力、外语和计算机应用能力以及查阅文献资料和文字表达等基本技能。 对学生的要求 1、凡在毕业设计(论文)工作开始前累计未取得必修课程学分达10学分以上(含10学分)的学生不得进入毕业设计(论文)阶段。 2、毕业设计(论文)是学生在教师指导下进行的一项独立工作。学生本人应充分认识毕业设计(论文)对自己全面素质培养的重要性,要以认真的态度、高度的责任感和自觉性进行工作。 3、学生要尊敬指导教师,虚心向指导教师请教,应经常主动向指导教师汇报毕业设计(论文)工作情况,主动接受指导教师的检查和指导。要汇报的内容和疑难问题认真填写在此工作手册“教师指导毕业设计(论文)情况登记表”上(每周两次),并按要求签字。 4、在校外结合实际进行毕业设计(论文)的学生,由本人提出申请(见附表1),提出可行性操作方案,所在专业教研室安排好校内联系教师,经院长(系主任)批准,送交教务处实践教学管理科备案后方可进行。要尊重所在单位的安排,虚心向所在单位指导教师学习。 5、学生要独立完成毕业设计(论文)任务,不得抄袭和弄虚作假,一旦发现按考试

师范学院毕业论文设计工作实施细则

乐山师范学院本科生毕业论文(设计)工作实施细则 毕业论文是大学生理论知识水平、实践和学术创新能力的综合反映。根据我校学分制文件和本科教学计划规定,凡我校普通本科(含专升本)学生必须撰写毕业论文(设计),论文成绩合格后方能取得毕业证和申请学士学位。为了规范各专业本科生毕业论文(设计)的工作,特制定本细则。 一、总则 1、毕业论文(设计)工作的性质 本科毕业论文(设计)就其性质而言,就是学生在毕业前进行的科研实践活动的基础上撰写的论文(设计),表明作者在实际科学研究过程中取得的新成果和新见解,反映学生综合运用所学知识解决实际问题的能力与素养。是学生能否获得学士学位的必要依据。 毕业论文(设计)是本科人才培养计划的重要组成部分,是对大学阶段教学效果的总结和检验,是在集中训练中全面发展学生的综合能力与素质,提高学生科学思维和创造能力重要的必要教学环节,是进行素质教育和创新教育的必然要求,也是各专业为教学和人才培养服务的重要体现。 2、毕业论文(设计)工作的目的 毕业论文(设计)工作的主要目的就是让学生在教师的指导下相对独立地进行适宜水平的科学研究,使学生进一步巩固加深所学的基础知识和基本技能,并使之系统化、综合化,使学生获得从事科研工作的基本训练,培养和考查学生独立工作、综合运用知识等方面的能力,并在实践中培养实事求是、扎实严谨的科学态度和勇于探索、敢于创新的精神。 3、毕业论文(设计)工作的主要任务 全面培养学生的实践能力、创新能力,全面提高教学和人才培养的质量。 4、学分 完成毕业论文(设计),顺利通过答辩,获得6个学分。 二、组织机构与职责 1、学校毕业论文(设计)工作的领导和管理由主管教学的校领导主持,由教务处组织实施。实行学校总体监控和学院全程管理相结合的形式。 学校学位评定委员会负责本科生学士学位条件和资格的审定。

[毕业论文(设计)工作手册] 毕业论文设计学生工作手册

[毕业论文(设计)工作手册] 毕业论文设计学生工作手册 毕业论文(设计)工作手册 学生姓名郑程科 学生专业计算机信息管理 学生年级信息管理1班 指导教师张一鹏 指导时间:年月日——年月日 东莞南博职业技术学院教务处制 目录 一、毕业论文(设计)任务书 (1) 二、毕业论文(设计)开题报告 (2) 三、毕业论文(设计)中期检查表 (3) 四、毕业论文(设计)后期检查表 (4) 五、毕业论文(设计)教师指导记录 (5) 六、毕业论文(设计)规 范 (6) 七、毕业论文(设计)评定表………………………………………………………………….. 1 0 东莞南博职业技术学院 毕业论文(设计)任务书 论文(设计)题目:基于PL/SQL的blog系统 系:计算机专业:信息管理班级:信息管理1班学 号: 2007304101 学生:郑程科指导教师:张一鹏 接受任务时间 2010年3月29 教研室主任(签名)系主管领导(签名) 1.毕业论文(设计)的主要内容及基本要求 主要内容:开发一个blog系统,能实现留言版,上传,登陆的功能 要求:能够使用ORACLE的PL/SQL语言,以及https://www.doczj.com/doc/4b9898873.html,或JSP或ASP设计一个 BLOG,要求至少具有2个主题模块:例如留言版,日志版,也可包括资料的上传与下载等等2.指定查阅的主要参考文献及说明 Blog系统的架构 PHP语言 3.进度安排 1 2 3 4 5 登陆模块 2010/4/18—2010/4/28 论文(设计)各阶段名称上传模块留言模块起止日期 2010/4/1—2010/4/7 2010/4/11—2010/4/20 注:本表由指导教师填写,在学生接受任务时下达 东莞南博职业技术学院毕业论文(设计) 开题报告 论文(设计)名称基于PL/SQL的blog系统

毕业设计实施细则

西安石油大学 本科毕业设计(论文)实施细则 (试行) 毕业设计(论文)是本科教学计划的最后一个重要环节,是落实本科教育培养目标的重要组成部分,也是授予学士学位的重要依据。其主要目的是培养学生综合运用所学知识,理论联系实际,独立分析和解决问题的能力,启发学生的创新精神,提高学生的实践能力,使学生得到从事本专业实际工作的基本训练。为了切实做好我校本科学生的毕业设计(论文)工作,进一步提高毕业设计(论文)的质量,特制定本实施细则。 1 教学基本要求 (1) 培养学生综合应用所学的基础理论与专业知识,独立分析和解决实际问题的能力,培养学生的创新精神和创新能力。 (2) 使学生获得科学研究或学术研究方法的初步训练。 (3) 培养学生理论联系实际的工作作风和严肃认真的科学态度。 (4) 使学生在方案设计、综合分析、社会调查、查阅文献、工程绘图、理论计算、实验研究、外文阅读、计算机应用、文字表达等基本技能和能力方面得到进一步的训练和提高。 2 管理层次和职责 本科生的毕业设计(论文)工作在主管校长的统一领导下,由教务处、院(系)、教研室、指导教师分级负责实施。 2.1 教务处岗位职责 (1) 贯彻落实教育部和陕西省教育厅对毕业设计(论文)管理工作的指导文件,对全校毕业设计(论文)工作实行宏观管理,协调有关问题。 (2) 制定或修订毕业设计(论文)管理工作中学校的有关政策、工作条例和规章制度。 (3) 负责组织对院(系)毕业设计(论文)工作中各环节的检查与毕业设计(论文)工作的评估,将检查结果、建议和意见及时反馈到院(系)和相关部门。 (4) 汇总各院(系)毕业设计(论文)题目和指导教师情况,审定并编辑印刷校级优秀毕业设计(论文)。 (5) 组织各院(系)对毕业设计(论文)工作进行总结和交流。 2.2 院(系)岗位职责 各院(系)的毕业设计(论文)工作由主管教学的院长(主任)全面负责,组成院(系)毕业设计(论文)工作领导小组,成员包括系(教研室)主任、部分指导教师、教学秘书等。该领导小组的职责是:

大学生毕业设计(论文)工作自查报告范文

大学生毕业设计(论文)工作自查报告范文 大学生毕业设计(论文)工作自查报告根据教务处《关于做好二○一四届本科学生毕业设计(论文)中期检查的通知》文件精神,教科学院认真组织了自查工作。现将自查情况汇报如下: 一、学院领导高度重视 学院领导和毕业论文领导小组成员在毕业论文领导小组工作会议上认真学习了《通知》精神,做了专门讨论和研究,决定成立由学院督导委员会成员为组成的毕业论文中期检查专家组,具体负责本次毕业论文中期检查工作,制定了工作步骤、方式和要求,安排好各自任务。 二、计划周密 我院依据学校的检查通知,参照通知要求的检查内容和方式,制定了周密详细的工作计划。分两个阶段进行检查。第一阶段是自查阶段,学院教学管理科、指导教师和学生自我检查论文中期工作情况,并且做好学院检查的准备。第二阶段是学院检查阶段,对学院的管理资料、指导教师的《中期检查表》和部分学生的论文资料进行全面检查。在全面检查的基础上,分别召开指导教师和学生座谈会,听取他们对学校、学院在毕业论文工作的规章制度、保障措施等方面的意见和建议,以进一步规范管理和提高毕业论文的质量。

三、检查工作认真细致 在自查阶段,学院各方面都能够认真仔细,圆满按时保质保量地完成工作。 3月26日下午,我院督导委员会成员按照计划安排,分别进行了资料检查、教师代表座谈会和学生代表座谈会。 (一)毕业论文中期资料检查 按照教务处《通知》要求,我院决定对于学院的相关文件资料和指导教师的《中期检查表》进行全面检查,学生论文资料抽查不少于总数的1/4,每班随机抽取10名学生的资料,检查论文工作的完成情况。具体检查情况如下: 1.管理方面: 学院毕业设计(论文)工作管理文件,包括文件、通知等资料完整、规范、有序。毕业论文工作计划制定详细具体,符合学校的要求,切合学生的学习情况,整个论文工作执行基本按照计划安排的时间点推进,目前论文工作已经完成计划的80%多。学院制定的《毕业论文实施细则》符合学院学科专业特点与要求,符合学校的毕业论文工作要求,对于毕业论文工作的各个方面工作要求细致而明晰,一目了然。 为了保证学生论文的质量,学院做了大量的前期准备工作,比如制定了详细的《毕业论文实施细则》,在撰写过程中通过多种方式狠抓落实。首先严把三道关:选题关、开题关、答辩关。第二开辟三个信息渠道:一是通过各班的信息

计算机专业毕业论文-电脑游戏飞机大战的开发与设计

毕业设计(论文) 题目:飞机大战游戏的设计与开发学院:计算机科学学院 专业班级:电子信息科学与技术11级1班指导教师:职称:副教授 学生姓名: 学号:

摘要 飞机游戏是一款风靡全球的电视机游戏和掌上游戏机产品,曾几何时,它创造了一个无法企及的游戏巅峰,也曾影响了一代产业链。虽然它辉煌的业绩在历史的涡轮中渐渐远去,但这款游戏每每提及,总会令人爱不释手,魂牵梦绕。 这款游戏的名字叫做飞机大战,它是运行于Windows操作系统下的一款2D 游戏,以C/C++为开发语言,构建于Microsoft Foundation Class Library(MFC)框架体系中,其中调用了部分的Win32API函数接口,在Visual Studio2010环境下开发的一款多媒体游戏。 随着硬件性能的不断提高,游戏的日益普及,使开发者不再需要过多的关注不同的硬件设备。本论文着眼于双缓冲绘图的运用,开发出仅用于理论研究的二维平面射击类游戏。 本文首先分析了电脑游戏的发展历史,然后分析了制作游戏的基本流程和游戏本身所要包含的几种元素以及游戏应具备的游戏层次。根据2D游戏的特点和游戏的角色,以及给用户呈现的游戏界面的特效,对2D图形技术,Windows 图形界面编程技术GDI,DirectDraw的显卡接口和DirectSound声卡接口以及MVC 设计模式下的MFC框架等技术进行了比较仔细的研究。通过自定义一个游戏类CGame,封装了游戏元素的基本属性和行为,这样便于在用到游戏的行为操作是,只要轻松的产生CGame对象便可直接调用其方法,也有利于升级为双人游戏,乃至网络版时,只需要在该类中添加相应的行为便可。当我们向用户呈现游戏运行的界面时,通过Timer时钟控件,映射到相应的处理函数,使得游戏界面大约每2秒钟刷新一下界面,这样才能让一张位图背景图片具有动态效果。当使用DirectSound声卡接口时,我方和敌方相互射击所发出的声音,都是在时钟映射函数调用时处理的。 【关键词:】C/C++; VS 2010/MFC; 飞机大战游戏 I

毕业设计(论文)工作细则

交通与测绘学院毕业设计(论文)指导工作细则 2005年12月12日院教学工作指导委员会通过 根据《河北理工大学毕业设计(论文)指导手册》,结合我院的具体情况,制定本工作细则。 一、毕业设计(论文)工作培养目标 毕业设计(论文)工作是本科教育人才培养计划的重要组成部分,是对学生综合运用所学基本理论、基本技能、基本方法的全面检验,是学生接受综合训练而提高其分析问题解决问题能力和科学研究及创新能力的必要的教学环节。 通过毕业设计(论文)工作,使学生能够进一步巩固所学基本理论、基本技能和专业知识,提高综合运用所学知识的能力,初步掌握科学研究的基本思想和方法,树立严谨、负责、实事求是、刻苦钻研、勇于探索、敢于创新的科学精神。 二、课题的申请与选定 1.选题 毕业设计(论文)选题由指导教师负责。计划课题数量为学生人数的1.2倍,以保证学生一人一题,并有一定的选择余地。当课内容需要多名学生完成时,应明确定每个学生应该完成的具体任务,并使每个同学经历该课题的全过程,论文题目不能相同。 指导教师应在广泛调研的基础上,结合自己的科研课题及本专业的特点进行选题。选题应具有全面性和多样性,理论联系实际。 课题分为毕业设计和毕业论文两种。毕业设计课题包括工艺设计、规划设计、软件设计等。毕业论文课题包括新领域、新技术、新理论的综述和探索,已有理论或技术的拓展或新的论证,已有理论或技术在新领域的综合运用,社会、经济、文化等方面实际问题和热点问题的分析解决等。 2.课题申请表 课题确定后,由指导教师填写《河北理工大学毕业设计(论文)课题申请表》(附表1)。 主要研究内容一栏应填写要求学生应完成的主要内容,应具体明确。 目标和要求一栏应填写通过毕业设计(论文)工作,使学生在哪些方面得到锻炼,在什么方面达到了一个什么程度,熟练掌握了什么内容,对什么内容有初步的了解。初步掌握了设计(或科学)的思想和方法。对研究内容的深度和广度做一具体的要求。 特色一栏应写明所提出的课题在哪一方面有突出的特点,或创新点,或重点研究哪些方面的内容。 成果形式是指设计说明书、图纸、程序、数据库、论文等形式。 成果价值是指研究成果的社会意义和经济价值,或被单位所采用所取得的效益

相关主题
文本预览
相关文档 最新文档