当前位置:文档之家› 脉冲信号

脉冲信号

脉冲信号
脉冲信号

脉冲信号

在电子技术中,脉冲信号是一个按一定电压幅度,一定时间间隔连续发出的脉冲信号。脉冲信号之间的时间间隔称为周期;而将在单位时间(如1秒)内所产生的脉冲个数称为频率。频率是描述周期性循环信号(包括脉冲信号)在单位时间内所出现的脉冲数量多少的计量名称;频率的标准计量单位是Hz(赫)。电脑中的系统时钟就是一个典型的频率相当精确和稳定的脉冲信号发生器。频率在数学表达式中用“f”表示,其相应的单位有:Hz(赫)、kHz(千赫)、MHz(兆赫)、GHz(吉赫)。其中1GHz=1000MHz,1MHz=1000kHz,1kHz=1000Hz。计算脉冲信号周期的时间单位及相应的换算关系是:s(秒)、ms(毫秒)、μs(微秒)、ns(纳秒),其中:1s=1000ms,1 ms=1000μs,1μs=1000ns。

CPU的主频,即CPU内核工作的时钟频率(CPU Clock Speed)。通常所说的某某CPU是多少兆赫的,而这个多少兆赫就是“CPU的主频”。很多人认为CPU 的主频就是其运行速度,其实不然。CPU的主频表示在CPU内数字脉冲信号震荡的速度,与CPU实际的运算能力并没有直接关系。主频和实际的运算速度存在一定的关系,但目前还没有一个确定的公式能够定量两者的数值关系,因为CPU的运算速度还要看CPU的流水线的各方面的性能指标(缓存、指令集,CPU的位数等等)。由于主频并不直接代表运算速度,所以在一定情况下,很可能会出现主频较高的CPU实际运算速度较低的现象。比如AMD公司的AthlonXP系列CPU大多都能以较低的主频,达到英特尔公司的Pentium 4系列CPU较高主频的CPU性能,所以AthlonXP系列CPU才以PR值的方式来命名。因此主频仅是CPU性能表现的一个方面,而不代表CPU的整体性能。

CPU的主频不代表CPU的速度,但提高主频对于提高CPU运算速度却是至关重要的。举个例子来说,假设某个CPU在一个时钟周期内执行一条运算指令,那么当CPU运行在100MHz主频时,将比它运行在50MHz主频时速度快一倍。因为100MHz的时钟周期比50MHz的时钟周期占用时间减少了一半,也就是工作在100MHz主频的CPU执行一条运算指令所需时间仅为10ns比工作在50MHz主频时的20ns缩短了一半,自然运算速度也就快了一倍。只不过电脑的整体运行速度不仅取决于CPU运算速度,还与其它各分系统的运行情况有关,只有在提高主频的同时,各分系统运行速度和各分系统之间的数据传输速度都能得到提高后,电脑整体的运行速度才能真正得到提高。

总线是将计算机微处理器与内存芯片以及与之通信的设备连接起来的硬件通道。前端总线将CPU连接到主内存和通向磁盘驱动器、调制解调器以及网卡这类系统部件的外设总线。人们常常以MHz表示的速度来描述总线频率。

前端总线(FSB)频率是直接影响CPU与内存直接数据交换速度。由于数据传输最大带宽取决于所有同时传输的数据的宽度和传输频率,即数据带宽=(总线频率×数据位宽)÷8。目前PC机上所能达到的前端总线频率有266MHz、333MHz、400MHz、533MHz、800MHz几种,前端总线频率越大,代表着CPU 与内存之间的数据传输量越大,更能充分发挥出CPU的功能。现在的CPU技

术发展很快,运算速度提高很快,而足够大的前端总线可以保障有足够的数据供给给CPU。较低的前端总线将无法供给足够的数据给CPU,这样就限制了CPU 性能得发挥,成为系统瓶颈。

外频与前端总线频率的区别:前端总线的速度指的是数据传输的速度,外频是CPU与主板之间同步运行的速度。也就是说,100MHz外频特指数字脉冲信号在每秒钟震荡一千万次;而100MHz前端总线指的是每秒钟CPU可接受的数据传输量是100MHz×64bit÷8Byte/bit=800MB/s。

CPU : 二级缓存容量

CPU缓存(Cache Memoney)位于CPU与内存之间的临时存储器,它的容量比内存小但交换速度快。在缓存中的数据是内存中的一小部分,但这一小部分是短时间内CPU即将访问的,当CPU调用大量数据时,就可避开内存直接从缓存中调用,从而加快读取速度。由此可见,在CPU中加入缓存是一种高效的解决方案,这样整个内存储器(缓存+内存)就变成了既有缓存的高速度,又有内存的大容量的存储系统了。缓存对CPU的性能影响很大,主要是因为CPU的数据交换顺序和CPU与缓存间的带宽引起的。

缓存的工作原理是当CPU要读取一个数据时,首先从缓存中查找,如果找到就立即读取并送给CPU处理;如果没有找到,就用相对慢的速度从内存中读取并送给CPU处理,同时把这个数据所在的数据块调入缓存中,可以使得以后对整块数据的读取都从缓存中进行,不必再调用内存。

正是这样的读取机制使CPU读取缓存的命中率非常高(大多数CPU可达90%左右),也就是说CPU下一次要读取的数据90%都在缓存中,只有大约10%需要从内存读取。这大大节省了CPU直接读取内存的时间,也使CPU读取数据时基本无需等待。总的来说,CPU读取数据的顺序是先缓存后内存。

最早先的CPU缓存是个整体的,而且容量很低,英特尔公司从Pentium时代开始把缓存进行了分类。当时集成在CPU内核中的缓存已不足以满足CPU的需求,而制造工艺上的限制又不能大幅度提高缓存的容量。因此出现了集成在与CPU同一块电路板上或主板上的缓存,此时就把CPU内核集成的缓存称为一级缓存,而外部的称为二级缓存。一级缓存中还分数据缓存(I-Cache)和指令缓存(D-Cache)。二者分别用来存放数据和执行这些数据的指令,而且两者可以同时被CPU访问,减少了争用Cache所造成的冲突,提高了处理器效能。英特尔公司在推出Pentium 4处理器时,还新增了一种一级追踪缓存,容量为12KB. 随着CPU制造工艺的发展,二级缓存也能轻易的集成在CPU内核中,容量也在逐年提升。现在再用集成在CPU内部与否来定义一、二级缓存,已不确切。而且随着二级缓存被集成入CPU内核中,以往二级缓存与CPU大差距分频的情况也被改变,此时其以相同于主频的速度工作,可以为CPU提供更高的传输速度。

二级缓存是CPU性能表现的关键之一,在CPU核心不变化的情况下,增加二级缓存容量能使性能大幅度提高。而同一核心的CPU高低端之分往往也是在二级缓存上有差异,由此可见二级缓存对于CPU的重要性。

CPU在缓存中找到有用的数据被称为命中,当缓存中没有CPU所需的数据时(这时称为未命中),CPU才访问内存。从理论上讲,在一颗拥有二级缓存的CPU 中,读取一级缓存的命中率为80%。也就是说CPU一级缓存中找到的有用数据

占数据总量的80%,剩下的20%从二级缓存中读取。由于不能准确预测将要执行的数据,读取二级缓存的命中率也在80%左右(从二级缓存读到有用的数据占总数据的16%)。那么还有的数据就不得不从内存调用,但这已经是一个相当小的比例了。目前的较高端的CPU中,还会带有三级缓存,它是为读取二级缓存后未命中的数据设计的—种缓存,在拥有三级缓存的CPU中,只有约5%的数据需要从内存中调用,这进一步提高了CPU的效率。

为了保证CPU访问时有较高的命中率,缓存中的内容应该按一定的算法替换。一种较常用的算法是“最近最少使用算法”(LRU算法),它是将最近一段时间内最少被访问过的行淘汰出局。因此需要为每行设置一个计数器,LRU算法是把命中行的计数器清零,其他各行计数器加1。当需要替换时淘汰行计数器计数值最大的数据行出局。这是一种高效、科学的算法,其计数器清零过程可以把一些频繁调用后再不需要的数据淘汰出缓存,提高缓存的利用率。

CPU产品中,一级缓存的容量基本在4KB到18KB之间,二级缓存的容量则分为128KB、256KB、512KB、1MB等。一级缓存容量各产品之间相差不大,而二级缓存容量则是提高CPU性能的关键。二级缓存容量的提升是由CPU制造工艺所决定的,容量增大必然导致CPU内部晶体管数的增加,要在有限的CPU 面积上集成更大的缓存,对制造工艺的要求也就越高。

双核心CPU的二级缓存比较特殊,和以前的单核心CPU相比,最重要的就是两个内核的缓存所保存的数据要保持一致,否则就会出现错误,为了解决这个问题不同的CPU使用了不同的办法:

Intel双核心处理器的二级缓存

目前Intel的双核心CPU主要有Pentium D、Pentium EE、Core Duo三种,其中Pentium D、Pentium EE的二级缓存方式完全相同。Pentium D和Pentium EE 的二级缓存都是CPU内部两个内核具有互相独立的二级缓存,其中,8xx系列的Smithfield核心CPU为每核心1MB,而9xx系列的Presler核心CPU为每核心2MB。这种CPU内部的两个内核之间的缓存数据同步是依靠位于主板北桥芯片上的仲裁单元通过前端总线在两个核心之间传输来实现的,所以其数据延迟问题比较严重,性能并不尽如人意。

Core Duo使用的核心为Y onah,它的二级缓存则是两个核心共享2MB的二级缓存,共享式的二级缓存配合Intel的“Smart cache”共享缓存技术,实现了真正意义上的缓存数据同步,大幅度降低了数据延迟,减少了对前端总线的占用,性能表现不错,是目前双核心处理器上最先进的二级缓存架构。今后Intel的双核心处理器的二级缓存都会采用这种两个内核共享二级缓存的“Smart cache”共享缓存技术。

AMD双核心处理器的二级缓存

Athlon 64 X2 CPU的核心主要有Manchester和T oledo两种,他们的二级缓存都是CPU内部两个内核具有互相独立的二级缓存,其中,Manchester核心为每核心512KB,而T oledo核心为每核心1MB。处理器内部的两个内核之间的缓存数据同步是依靠CPU内置的System Request Interface(系统请求接口,SRI)控制,传输在CPU内部即可实现。这样一来,不但CPU资源占用很小,而且不必占用内存总线资源,数据延迟也比Intel的Smithfield核心和Presler核心大为减少,协作效率明显胜过这两种核心。不过,由于这种方式仍然是两个内核的缓存相互独立,从架构上来看也明显不如以Y onah核心为代表的Intel的共享缓存技术Smart Cache。

脉冲响应函数简析

3-2 脉冲响应函数 对于线性定常系统,其传递函数)(s Φ为 )() ()(s R s C s =Φ 式中)(s R 是输入量的拉氏变换式,)(s C 是输出量的拉氏变换式。 系统输出可以写成)(s Φ与)(s R 的乘积,即 )()()(s R s s C Φ= (3-1) 下面讨论,当初始条件等于零时,系统对单位脉冲输入量的响应。因为单位脉冲函数的拉氏变换等于1,所以系统输出量的拉氏变换恰恰是它的传递函数,即 )()(s s C Φ= (3-2) 由方程(3-2)可见,输出量的拉氏反变换就是系统的脉冲响应函数,用)(t k 表示,即 1 ()[()]k t s -=Φ 脉冲响应函数)(t k ,是在初始条件等于零的情况下,线性系统对单位脉冲输入信号的响应。可见,线性定常系统的传递函数与脉冲响应函数,就系统动态特性来说,二者所包含的信息是相同的。所以,如果以脉冲函数作为系统的输入量,并测出系统的响应,就可以获得有关系统动态特性的全部信息。在具体实践中,与系统的时间常数相比,持续时间短得很多的脉动输入信号就可以看成是脉冲信号。 设脉冲输入信号的幅度为11t ,宽度为1t ,现研究一阶系统对这种脉动信号的响应。如 果输入脉动信号的持续时间t )0(1t t <<,与系统的时间常数T 相比足够小,那么系统的响应将近似于单位脉冲响应。为了确定1t 是否足够小,可以用幅度为12,持续时间(宽度)为 21t 的脉动输入信号来进行试验。如果系统对幅度为11t ,宽度为1t 的脉动输入信号的响应,与系统对幅度为12t ,宽度为21t 的脉动输入信号的响应相比,两者基本上相同,那么1t 就可以认为是足够小了。图3-3(a)表示一阶系统脉动输入信号的响应曲线;图3-3(c)表示一阶系统对脉冲输入信号的响应曲线。应当指出,如果脉动输入信号T t 1.01<(图3-3(b)所示), 则系统的响应将非常接近于系统对单位脉冲信号的响应。 这样,当系统输入为一个任意函数)(t r 时,如图3-4所示。那么输入量)(t r 可以用n 个连续脉冲函数来近似。只要把每一个脉冲函数的响应求出来,然后利用叠加原理,把每个脉冲函数的响应叠加起来,就可得到系统在任意输入函数)(t r 作用下的响应。

脉冲信号发生器使用方法

脉冲信号发生器可以产生重复频率、脉冲宽度及幅度均为可调的脉冲信号,广泛应用于脉冲电路、数字电路的动态特性测试。脉冲信号发生器一般都以矩形波为标准信号输出。 脉冲信号发生器的种类繁多,性能各异,但内部基本电路应包括图1所示的几个部分。 主振级一般由无稳态电路组成,产生重复频率可调的周期性信号。隔离级由电流开关组成,它把主振级与下一级隔开,避免下一级对主振级的影响,提高频率的稳定度。脉宽形成级一般由单稳态触发器和相减电路组成,形成脉冲宽度可调的脉冲信号。放大整形级是利用几级电流开关电路对脉冲信号进行限幅放大,以改善波形和满足输出级的激励需要。输出级满足脉冲信号输出幅度的要求,使脉冲信号发生器具有一定带负载能力。通过衰减器使输出的脉冲信号幅度可调。 所示为xc-15型脉冲信号发生器的面板示意图,xc-15型脉冲信号发生器是高重复频率ns (纳秒)级脉冲信号发生器。其重复频率范围为1kHz~100MHz,脉冲宽度为5ns~300μs,幅度为150mV~5V,并输出正、负脉冲及正、负倒置脉冲,性能比较完善。 (1)XC-15型脉冲信号发生器的面板开关、旋钮的功能及使用 ①“频率”粗调开关和“频率细调”旋钮。调节“频率”粗调开关和“频率细调”旋钮,可实现1kHz~100MHz的连续调整。粗调分为十挡(1kHz、3kHz、10kHz、100kHz、300kHz、1MHz、3MHz、10MHz、30MHz和100MHz),用细调覆盖。“频率细调”旋钮顺时针旋转时频率增高,顺时针旋转到底,为“频率”粗调开关所指频率;逆时针旋转到底,为此“频率”粗调开关所指刻度低一挡。例如,“频率”粗调开关置于10kHz挡,“频率细调”旋钮顺时针旋转到底时输出频率为10kHz;逆时针旋转到底时输出频率为3kHz。 ②“延迟”粗调转换开关和“延迟细调”旋钮。调节此组开关和旋钮,可实现延迟时间5ns~300,tts的连续调整。延迟粗调分为十挡(5ns、10ns、30ns、l00ns、300ns、1μs、3μs、10μs、30μs和100μs),用细调覆盖。延迟时间加上大约30ns的固有延迟时间等于同步输出负方波的下降沿超前主脉冲前沿的时间。 “延迟细调”旋钮逆时针旋转到底为粗调挡所指的延迟时间。顺时针旋转延迟时间增加,顺时针旋转到底为此粗调挡位高一挡的延迟时间。例如,“延迟”粗调开关置于30ns挡,“延迟细调”旋钮顺时针旋转到底时输出延迟时间为100ns;逆时针旋转到底时输出延迟时间为30ns。 ③“脉宽”粗调开关和“脉宽细调”旋钮。通过调节此组开关和旋钮,可实现脉宽5ns~300μs 的连续调整。“脉宽”粗调分为十挡(5ns、10ns、30ns、100ns、300ns、1μs、3μs、10μs、30μs和100μs),用细调覆盖。“脉宽细调”旋钮逆时针旋转到底为粗调挡所指的脉宽时间。顺时针旋转脉宽增加,顺时针旋转到底为此粗调挡位高一挡的脉宽。例如,“脉宽”粗调开关置于10ns挡,“脉宽细调”旋钮顺时针旋转到底时输出脉宽为30ns;逆时针旋转到底时输出延迟时间为10ns。 ④“极性”选择开关。转换此开关可使仪器输出四种脉冲波形中的一种。 ⑤“偏移”旋钮。调节偏移旋钮可改变输出脉冲对地的参考电平。 ⑥“衰减”开关和“幅度”旋钮。调节此组开关和旋钮,可实现150mV~5V的输出脉冲幅度调整。 (2)使用注意事项在使用xc 15型脉冲信号发生器时应注意如下两点事项。 ①本仪器不能空载使用,必须接入50Ω负载,并尽量避免感性或容性负载,以免引起波形畸变。 ②开机后预热15min后,仪器方能正常工作。

PWM信号发生器的设计报告

前言 脉冲宽度调制(Pulse Width Modulation.PWM)控制技术以其控制简单、灵活和动态响应好的优点而成为电力电子技术和模拟信号数字传输通信领域最广泛应用的控制方式,因此研究基于PWM技术的脉冲宽度及周期可调的信号发生器具有十分重要的现实意义。 本文主要讨论了脉冲占空比可调信号的产生方法,采用三种不同的方案使用VHDL语言编程实现了信号的产生。其中方案一的原理是分频,即用计数器计算时钟脉冲的上升沿个数,再通过输出电平反复翻转得到计数个数(脉冲宽度)可控的PWM 信号;方案二的原理是锯齿波比较法,首先编程产生阶梯状的锯齿波,再通过锯齿波与输入占空比值(数值可控的直线)比较产生脉冲宽度随输入占空比数值变化的PWM 信号;方案三是用有限状态机产生有用信号,首先定义两个状态,再通过计数器值与输入占空比值比较控制状态的切换,产生PWM信号。本文详细介绍方案二和方案三两种方法。 通过使用QuartusII9.0软件采用VHDL语言编程并用功能仿真证实了上文提到的三种PWM信号产生方案都是可行的,都能产生切实可用的PWM信号,三种方案中均可以通过修改输入端口占空比来控制产生信号的脉宽,且可以通过在程序中修改计数器的计数上限和分频模块的分频比改变信号的周期及频率,实现了多参数可调,使整体设计具有灵活的现场可更改性和较好的可移植性。且实现功能的程序简单易懂,设计过程中思路阐述清晰,流程介绍明了,且程序易于修改,可读性好。

第一章设计要求 1.1 研究课题 PWM信号发生器的研制 1.2设计要求 用CPLD可编程模块产生下列信号(特殊芯片:EPM570T100C5) (1)采用VHDL编写相关程序,PWM信号的工作频率为500Hz(1000Hz); (2)时钟信号通过分频器后,由输入开关量控制占空比可调。

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

4 脉冲信号产生电路共23页文档

4 脉冲信号产生电路 4.1 实验目的 1.了解集成单稳态触发器的基本功能及主要应用。 2.掌握555定时器的基本工作原理及其性能。 3.掌握用555定时器构成多谐振荡器、单稳态触发器的工作原理、设计及调试方法。 4.2 实验原理 1.集成单稳态触发器及其应用 在数字电路的时序组合工作中,有时需要定时、延时电路产生定时、展宽延时等脉冲,专门用于完成这种功能的IC,就是“单稳延时多谐振荡器”,也称“单稳触发器”。其基本原理是利用电阻、电容的充放电延时特性以及电平比较器对充放电电压检测的功能,实现定时或延时,只需按需要灵活改变电阻、电容值大小,就可以取得在一定时间范围的延时或振荡脉冲输出。常用的器件有LS121/122、LS/HC123、LS/HC221、LS/HC423、HC/C4538及CC4528B等。 集成单稳态触发器在没有触发信号输入时,电路输出Q=0,电路处于稳态;当输入端输入触发信号时,电路由稳态转入暂稳态,使输出Q=1;待电路暂稳态结束,电路又自动返回到稳态Q=0。在这一过程中,电路输 出一个具有一定宽度的脉冲,其宽度与电路的外接定时元件C ext 和R ext 的数 值有关。 图4-1

集成单稳态触发器有非重触发和可重触发两种,74LS123是一种双可重触发的单稳态触发器。它的逻辑符号及功能表如图4-1、表4-1所示。 在表4-1中“正”为正脉冲,“负”为负脉冲。 LS/HC123的特点是,复位端CLR也具有上跳触发单稳态过程发生的功能。 在C ext >1000pF时,输出脉冲宽度t w ≈0.45R ext C ext 。 器件的可重触发功能是指在电路一旦被触发(即Q=1)后,只要Q还未恢复到0,电路可以被输入脉冲重复触发,Q=1将继续延长,直至重复触发的最后一个触发脉冲的到来后,再经过一个t w (该电路定时的脉冲宽度)时间,Q才变为0,如图4-2所示: 图4-2 74LS123的使用方法: (1)有A和B两个输入端,A为下降沿触发,B为上升沿触发,只有AB=1时电路才被触发。 (2)连接Q和A或Q与B,可使器件变为非重触发单稳态触发器。 (3)CLR=0时,使输出Q立即变为0,可用来控制脉冲宽度。 (4)按图4-3、3-5-4连接电路,可组成一个矩形波信号发生器,利用开关S瞬时接地,使电路起振。 图4-3 图4-4 2.555时基电路及其应用 555时基电路是一种将模拟功能和数字逻辑功能巧妙地结合在同一硅片上的新型集成电路,又称集成定时器,它的内部电路框图如图4-5所示。 图4-5 电路主要由两个高精度比较器C 1、C 2 以及一个RS触发器组成。比较器 的参考电压分别是2/3V CC 和1/3V CC ,利用触发器输入端TR输入一个小于 1/3V CC 信号,或者阈值输入端TH输入一个大于2/3V CC 的信号,可以使触发 器状态发生变换。CT是控制输入端,可以外接输入电压,以改变比较器的参考电压值。在不接外加电压时,通常接0.01μF电容到地,DISC是放电输入端,当输出端的F=0时,DISC对地短路,当F=1时,DISC对地开路。 R D 是复位输入端,当R D =0时,输出端有F=0。 器件的电源电压V CC 可以是+5V~+15V,输出的最大电流可达200mA,当 电源电压为+5V时,电路输出与TTL电路兼容。555电路能够输出从微秒级到小时级时间范围很广的信号。 (1)组成单稳态触发器 555电路按图4-6连接,即构成一个单稳态触发器,其中R、C是外接定时元件。单稳态触发器的输出脉冲宽度t w ≈1.1RC。 图4-6 (2)组成自激多谐振荡器 图4-7 自激多谐振荡器电路 按图4-7连接,即连成一个自激多谐振荡器电路,此电路的工作过程

脉冲信号发生器的使用方法

脉冲信号发生器的使用方法 脉冲信号发生器可以产生重复频率、脉冲宽度及幅度均为可调的脉冲 信号,广泛应用于脉冲电路、数字电路的动态特性测试。脉冲信号发生器一般 都以矩形波为标准信号输出。脉冲信号发生器的种类繁多,性能各异,但 内部基本电路应包括主振级一般由无稳态电路组成,产生重复频率可调的周期 性信号。隔离级由电流开关组成,它把主振级与下一级隔开,避免下一级对主 振级的影响,提高频率的稳定度。脉宽形成级一般由单稳态触发器和相减电路 组成,形成脉冲宽度可调的脉冲信号。放大整形级是利用几级电流开关电路对 脉冲信号进行限幅放大,以改善波形和满足输出级的激励需要。输出级满足脉 冲信号输出幅度的要求,使脉冲信号发生器具有一定带负载能力。通过衰减器 使输出的脉冲信号幅度可调。 如(1)XC-15型脉冲信号发生器的面板开关、旋钮的功能及使用 ①频率粗调开关和频率细调旋钮。调节频率粗调开关和频率细调旋钮, 可实现1kHz~100MHz的连续调整。粗调分为十挡 (1kHz、3kHz、10kHz、100kHz、300kHz、1MHz、3MHz、10MHz、30MHz 和100MHz),用细调覆盖。频率细调旋钮顺时针旋转时频率增高,顺时针旋转 到底,为频率粗调开关所指频率;逆时针旋转到底,为此频率粗调开关所指刻 度低一挡。例如,频率粗调开关置于10kHz挡,频率细调旋钮顺时针旋转到底 时输出频率为10kHz;逆时针旋转到底时输出频率为3kHz。 ②延迟粗调转换开关和延迟细调旋钮。调节此组开关和旋钮,可实现延 迟时间5ns~300,tts的连续调整。延迟粗调分为十挡 (5ns、10ns、30ns、l00ns、300ns、1μs、3μs、10μs、30μs和100μs),用细调覆盖。延迟时间加上大约30ns的固有延迟时间等于同步输

浅谈利用单片机设计PWM脉冲信号发生器

浅谈利用单片机设计PWM脉冲信号发生器 发表时间:2014-01-09T11:41:33.297Z 来源:《中国科技教育·理论版》2013年第11期供稿作者:王雪娇胡恒铮 [导读] 除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 王雪娇胡恒铮无锡技师学院 214153 摘要脉冲宽度调制(PWM)在电子技术领域中应用十分广泛,但是利用模拟电路实现脉宽调制功能十分复杂、不经济。随着微处理器的发展,运用数字输出方式去控制实现PWM的功能就变得简单快捷,本文就如何利用89S52单片机软件编程设计出周期一定而占空比可调的脉冲波,也就是实现PWM功能进行设计,它可以代替模拟电路的PWM脉冲信号发生器。 关键词单片机 PWM 数字控制 PWM是脉冲宽度调制(Pulse Width Modulation)的英文缩写,它是开关型稳压电源中按稳压的控制方式分类中的一种,而脉宽宽度调制式(PWM)开关型稳压电路是在控制电路输出频率不变的情况下,通过电压反馈调整其占空比,从而达到稳定输出电压的目的。 简单的说,PWM是一种对模拟信号电平进行数字编码的方法。理论上讲就是电压或电流源以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的,通的时候就是电源被加到负载上,断的时候就是供电被断开的时候,所以PWM信号仍然是数字的。要想达到这样一种脉宽调制效果,模拟电压和电流时可以直接控制。例如音响的音量控制,在简单的模拟电路中,它的控制是由连接了一个可变电阻的旋钮来实现的,其过程是拧动旋钮,电阻值变小或变大,流过该电阻的电流也随之增加来减小,从而改变驱动扬声器的电流值,那么声音也就相应变大或变小。从这个例子来看,模拟控制是直观而简单的,但是并不是所有的模拟电路都是可行并且经济的,其中很重要的一点就是模拟电路容易随时间漂移,它的调节过程就很困难,为了解决问题就要增加很多的电路,使得电路变得复杂并且昂贵。除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 综上所述,通过数字方式来控制模拟电路可以大幅度降低系统的成本和功耗,而单片机I/O口的数字输出可以很简单地发出一个脉冲波,在配以外部元器件就可以调节脉冲波的占空比,完成PWM的功能。本文主要介绍利用89S52系列的单片机,控制某个I/O口中一个管脚的数字输出,生成相应周期的脉冲波,并利用按键控制其占空比的调节,包括了占空比自小到大和自大到校的顺序及倒序可调,其调节范围广,操作简便,各元器件间的干扰较小,对模拟电路的控制十分有效。 1.PWM波的生成 PWM波既为数字输出,就是其幅值只有高电平(ON)和低电平(OFF)之分,所以只要使单片机中作为PWM波输出端的那个管脚输出“1”和“0”,并且搭配不同的时间段,就可以形成不同周期的PWM波。举例说明:若要生成周期为10ms的脉冲,就可以利用单片机编程指令控制其输出端输出“1”,并且保持一段时间tp,然后再输出“0”,同样使其保持一段时间tr,两种数字输出保持的时间必须要满足,现就已生成10ms周期的脉冲波,而PWM波与该脉冲波的区别就是还要能够调节占空比。占空比是指正半周脉宽占整个周期的比例,即高电平保持时间于周期的比值,该比值为百分数(),因此在周期一定的情况下,调节占空比就是调节高电平保持的时间。 2.应用编程 本文介绍的PWM波是利用单片机定时中断去确定脉冲波的周期,并且通过两个按键自增和自减某个变量送至中断中,通过此变量去分配高低电平各自占用的时间,形成不同的占空比,即假设一个周期满额比例值为10,则高电平保持时间的比例为该变量值,那么低电平保持时间的比例就是10减去该变量值。 如图1所示为单片机的外部接线图,其中省略了单片机最小系统,此图即可利用89SC52单片机设计出满足周期为10ms、初始占空比为50%、占空比调节范围为0~100%的PWM脉冲信号发生器。占空比调节范围是指高电平保持时间为0~10ms,那么低电平保持时间就是10ms~0。P0.7脚为PWM波输出口,作为PWM脉冲信号发生器可连接其它电路,本文仅连接示波器去观察波形的占空比变化情况,P2.0脚为自增按钮控制端,每按一次高电平保持时间增加1ms,P2.1脚为自减按钮控制端,每按一次高电平保持时间减少1ms。图2所示为初始

脉冲信号发生器设计

脉冲信号发生器 摘要:本实验是采用fpga方式基于Alter Cyclone2 EP2C5T144C8的简易脉冲信号发生器,可以实现输出一路周期1us到10ms,脉冲宽度:0.1us到周期-0.1us,时间分辨率为 0.1us的脉冲信号,并且还能输出一路正弦信号(与脉冲信号同时输出)。输出模式 可分为连续触发和单次手动可预置数(0~9)触发,具有周期、脉宽、触发数等显示功能。采用fpga计数实现的电路简化了电路结构并提高了射击精度,降低了电路功耗和资源成本。 关键词:FPGA;脉冲信号发生器;矩形脉冲;正弦信号; 1 方案设计与比较 脉冲信号产生方案: 方案一、采用专用DDS芯片的技术方案: 目前已有多种专用DDS集成芯片可用,采用专用芯片可大大简化系统硬件制作难度,部数字信号抖动小,输出信号指标高;但专用芯片控制方式比较固定,最大的缺点是进行脉宽控制,测量困难,无法进行外同步,不满足设计要求。 方案二、单片机法。 利用单片机实现矩形脉冲,可以较方案以更简化外围硬件,节约成本,并且也可以实现灵活控制、能产生任意波形的信号发生器。但是单片机的部时钟一般是小于25Mhz,速度上无法满足设计要求,通过单片机产生脉冲至少需要三条指令,所需时间大于所要求的精度要求,故不可取。 方案二:FPGA法。利用了可编程逻辑器件的灵活性且资源丰富的特点,通过Quartus 软件的设计编写,实现脉冲信号的产生及数控,并下载到试验箱中,这种方案电路简单、响应速度快、精度高、稳定性好故采用此种方案。 2 理论分析与计算 脉冲信号产生原理:输入量周期和脉宽,结合时钟频率,转换成两个计数器的容量,用来对周期和高电平的计时,输出即可产生脉冲信号。 脉冲信号的精度保证:时间分辨率0.1us,周期精度:+0.1%+0.05us,宽度精度:

脉冲脉宽输出功能汇总

脉冲输出功能 利用FP0的高速计数器功能,可以实现两路脉冲信号的输出。并且,若 以FP0的专用指令,可实现定位控制、梯形升降速控制、原点返回和点动等功能。 概述 ●利用FP0的脉冲输出功能,可以控制脉冲串输入形式的电机驱动器,来 实现定位控制。 ●指令F168能够根据设置的初始速度、最大速度、加/减速时间以及目标 值,自动输出所要求的脉冲,实现梯形升降速的定位控制。 ●F168指令也能实现自动回原点功能。 ●利用指令F169,可以实现点动(JOG)的脉冲输出。 设置系统寄存器 当使用脉冲输出功能时,应将相应通道(CH0或CH1)的系统寄存器

No.400和No.401设置为“不使用高速计数器”。设置方法请参考“7.4.3的系统寄存器表”。 F168 位置控制(梯形控制/原点返回) 根据设定的参数,从特定的输出点(Y0或Y1)输出特定形式的脉冲信号。 编程举例: 相应的寄存器表

A:可使用N/A:不可使用 说明: ●若控制标志(Control flag)(R903A或R903B)为OFF,且控制触点(如 R0)为ON状态时,则从指定的输出点(Y0或Y1),按照数据表给定的参数输出一个特定形式的脉冲串。 ●数据表用于指定位控运动的控制码、起始速度、最大速度、加速/减速时 间或目标值等。 ●根据加/减速时间,输出频率从起始速度升到最大速度。 ●相应的数据区见下表: ●在脉冲输出的过程中,可通过重写目标值,来输出更多的脉冲。 运行模式说明: ●增量模式<相对值控制> 根据目标的设置设定值,来输出相应脉冲数的脉冲。 将控制码(Control code)设置为H02(即:增量模式;正向:OFF;反向:ON),当目标值为正时,方向信号输出为OFF,同时高速计数器的当前值增加。当目标值为负时,方向信号输出为ON,同时高速计数器的当前值减少。当控制码(Control code)设置为H03时,方向信号输出则和前述情况的相反。

如何正确使用脉冲信号发生器

如何正确使用脉冲信号发生器 脉冲信号发生器可以产生重复频率、脉冲宽度及幅度均为可调的脉冲信号,广泛应用于脉冲电路、数字电路的动态特性测试。脉冲信号发生器一般都以矩形波为标准信号输出。 脉冲信号发生器的种类繁多,性能各异,但内部基本电路应包括图1所示的几个部分。 主振级一般由无稳态电路组成,产生重复频率可调的周期性信号。隔离级由电流开关组成,它把主振级与下一级隔开,避免下一级对主振级的影响,提高频率的稳定度。脉宽形成级一般由单稳态触发器和相减电路组成,形成脉冲宽度可调的脉冲信号。放大整形级是利用几级电流开关电路对脉冲信号进行限幅放大,以改善波形和满足输出级的激励需要。输出级满足脉冲信号输出幅度的要求,使脉冲信号发生器具有一定带负载能力。通过衰减器使输出的脉冲信号幅度可调。 所示为xc-15型脉冲信号发生器的面板示意图,xc-15型脉冲信号发生器是高重复频率ns(纳秒)级脉冲信号发生器。其重复频率范围为1kHz~100MHz,脉冲宽度为5ns~300μs,幅度为150mV~5V,并输出正、负脉冲及正、负倒置脉冲,性能比较完善。 (1)XC-15型脉冲信号发生器的面板开关、旋钮的功能及使用 ① “频率”粗调开关和“频率细调”旋钮。调节“频率”粗调开关和“频率细调”旋钮,可实现 1kHz~100MHz的连续调整。粗调分为十挡(1kHz、 3kHz、10kHz、100kHz、300kHz、1MHz、3MHz、10MHz、30MHz和100MHz),用细调覆盖。“频率细调”旋钮顺时针旋转时频率增高,顺时针旋转到底,为“频率”粗调开关所指频率;逆时针旋转到底,为此“频率”粗调开关所指刻度低一挡。例如,“频率”粗调开关置于 10kHz挡,“频率细调”旋钮顺时针旋转到底时输出频率为10kHz;逆时针旋转到底时输出频率为3kHz。 ②“延迟”粗调转换开关和“延迟细调”旋钮。调节此组开关和旋钮,可实现延迟时间5ns~300,tts的连续调整。延迟粗调分为十挡(5ns、10ns、30ns、l00ns、 300ns、1μs、3μs、10μs、30μs和100μs),用细调覆盖。延迟时间加上大约30ns的固有延迟时间等于同步输出负方波的下降沿超前主脉冲前沿的时间。 “延迟细调”旋钮逆时针旋转到底为粗调挡所指的延迟时间。顺时针旋转延迟时间增加,顺时针旋转到底为此粗调挡位高一挡的延迟时间。例如,“延迟”粗调开关置于30ns挡,“延迟细调”旋钮顺时针旋转到底时输出延迟时间为100ns;逆时针旋转到底时输出延迟时间为30ns。 ③ “脉宽”粗调开关和“脉宽细调”旋钮。通过调节此组开关和旋钮,可实现脉宽5ns~300μs的连续调整。“脉宽”粗调分为十挡(5ns、10ns、 30ns、100ns、300ns、1μs、3μs、10μs、30μs和100μs),用细调覆盖。“脉宽细调”旋钮逆时针旋转到底为粗调挡所指的脉宽时间。顺时针旋转脉宽增加,顺时针旋转到底为此粗调挡位高一挡的脉宽。例如,“脉宽”粗调开关置于10ns挡,“脉宽细调”旋钮顺时针旋转到底时输出脉宽为30ns;逆时针旋转到底时输出延迟时间为10ns。 ④“极性”选择开关。转换此开关可使仪器输出四种脉冲波形中的一种。 ⑤“偏移”旋钮。调节偏移旋钮可改变输出脉冲对地的参考电平。 ⑥“衰减”开关和“幅度”旋钮。调节此组开关和旋钮,可实现150mV~5V的输出脉冲幅度调整。

可编程脉冲信号发生器的设计说明

可编程脉冲信号发生器的设计 摘要 基于单片机的可编程脉冲信号发生器,通过4x4的非编码矩阵键盘键入脉冲信号的指标参数频率、占空比和脉冲个数,在单片机的控制处理下发出满足信号指标的脉冲信号,并在液晶显示屏的制定位置显示出相关参数。复位电路采用上电复位和手动复位的复合复位方式,保证单片机在上电和程序运行进入死循环时,单片机均能正常复位。利用在工作方式1下的定时器和计数输出低频脉冲信号,以及在工作方式2下能够自动重复赋初值的定时器输出高频脉冲信号,从而使频率和占空比满足指标要求。通过程序设计,使单片机每次发出信号后等到重置信号进行下一次脉冲信号的输出,有效的提高了单片机的使用效率。 本课题设计利用单片机技术,通过相应的软件编程和较简易的外围硬件电路来实现,其产生的脉冲信号干扰小,输出稳定,可靠性高,人机界面友好,操作简单方便,成本低,携带方便,扩展性强。关键的是,脉冲信号频率、脉冲个数和脉冲占空比可调节,可通过键盘输入并由显示器显示出来。 本课题设计所要达到的指标要求: (1)脉冲信号频率0.1HZ到50KHZ可调并在液晶显示屏指定位置显示。 (2)脉冲信号个数0到9999可调并在液晶显示屏指定位置显示。 (3)脉冲信号占空比任意可调并在液晶屏显屏指定位置示出来。 关键词:单片机,脉冲信号,频率,脉冲个数,占空比

Programmable pulse signal generator design ABSTRACT The programmable pulse signal generator based on single chip, through the 4x4 non-coding matrix keyboard inputing pulse signal parameters of frequency, duty cycle and pulse number, pulse signal is sent to meet the targets of signal processing chip.The related parameters are displayed on the setting position on the liquid crystal. The reset circuit by power-on reset and manual reset, ensure the SCM in power and run into dead circulation can be reset. Use in work mode 1 timer and counter output low frequency pulse signal, and in work mode 2 to timer output high frequency pulse signal ,automaticly repeat initialization, so as to make the frequency and duty ratio meet the requirements. Through the program design, the microcontroller each signal and then wait for the reset signal, the signal at the output of the pulse next time, effectively improve the efficiency in the use of single-chip microcomputer. The subject of the use of single-chip technology, which achieved through the corresponding software and the simple peripheral hardware circuit. The advantages of which are the small interference of the pulse signal, output stability, high reliability, friendly man-machine interface, easy operation, low cost, portability, scalability strong. The keys, pulse frequency, pulse number and pulse duty ratio are adjustable, which can be inputed through the keyboard and displayed through LCD. The requirements of this topic design: (1) The pulse signal frequency of 0.1HZ to 50KHZ is adjustable and can be displaied on the specify location in the LCD screen. (2) Pulse signal number of 0 to 9999 is adjusted and can be displaied on the specify location in the LCD screen. (3)Pulse duty ratio is adjustable and can be displaied on the specify

关于脉冲输出概要

一、脉冲输出功能 XC3系列和XC5系列PLC 一般具有2个脉冲输出。通过使用不同的指令编程方式,可以进行无加速/减速的单向脉冲输出,也可以进行带加速/减速的单向脉冲输出,还可以进行多段、正反向输出等等,输出频率最高可达200K Hz 。 Y0 COM0 Y1 COM1 Y2 COM2 注:1)为了使用脉冲输出,必须要使用带有晶体管输出的PLC 。如XC3-14T-E 或XC3-60RT-E 等。 2)XC5系列输出点数为32点的PLC 最大能够具有4路(Y0、Y1、Y2、Y3)脉冲输出功能。 二、脉冲输出的种类与指令应用 1、 无加减速时间变化的单向定量脉冲输出指令PLSY ? 以指定的频率产生定量脉冲的指令。 ? 支持32位指令[DPLSY]。 ? 频率:0~200KHz ? 输出端子:Y0 或 Y1 ? 输出模式:连续或有限脉冲输出 ? 脉冲数目:16位指令 0~K32767 32位指令 0~K2147483647 注意:如控制对象是步进电机或伺服电机,建议不要采用该指令,以避免电机失步。采用带加减速的脉冲输出指令PLSR 可以避免失步造成的影响。 步进/伺服电机 驱动器

当输出完设定的脉冲数目之后,输出自动停止。 2、 可变频率脉冲输出指令PLSF M0 以设定频率连续输出脉冲直到通过指令停止输出。

3、带加减速的定量脉冲输出指令PLSR (含3种控制模式) ?以指定的频率和加减速时间产生定量脉冲的指令。 ?频率:0~200KHz ?加减速时间:5000ms以下 ?支持32位指令[DPLSR]。 ?输出端子:Y0 或Y1 ?输出模式:有限脉冲数目 ?脉冲数目:16位指令0~K32,767 32位指令0~K2,147,483,647 一般情况中途停止

脉冲信号发生器

电子技术综合训练 设计报告 题目:脉冲信号发生器 姓名:xxx 学号:xxxxxxx 班级:xx 电气及其自动化xx 同组成员:xxx 指导教师:xxx 日期:2011年1月4日

脉冲信号发生器的原理主要分为四部分,即正弦波的产生,方波的变换,分频电路和倍频电路,并由这四部分最终产生三种不同频率的信号,其要点在于电路的线路连接及焊接。通过设计体会理论与实际结合的重要性. 关键字:正弦发生多谐振荡器降频电路锁相环

一、设计任务和要求 (5) 1.1设计任务 (5) 1.2设计要求 (5) 二、系统设计 (6) 2.1系统要求 (6) 2.2方案设计 (6) 2.3系统工作原理 (7) 三、单元电路设计 (8) 3.1 RC正弦发生器 (8) 3.1.1电路结构及工作原理 (9) 3.1.2电路仿真 (9) 3.1.3元器件的选择及参数确定 (9) 3.2 555定时器组成的多谐振荡器 (9) 3.2.1电路结构及工作原理 (9) 3.2.2电路仿真 (11) 3.3 74LS161计数器降频电路 (11) 3.3.1电路结构及工作原理 (11)

3.3.2电路仿真 (11) 3.3.3元器件的选择及参数确定 (11) 3.4 锁相环升频电路 (13) 3.4.1电路结构及工作原理 (13) 3.4.2元器件的选择及参数确定 (15) 四、系统仿真 (17) 五、电路安装、调试与测试 (18) 5.1电路安装 (17) 5.2电路调试 (17) 5.3系统功能及性能测试 (17) 5.3.1测试方法设计 (18) 5.3.2测试结果及分析 (18) 结论 (19) 参考文献 (20) 总结、体会和建议 (21) 附录 (22)

多功能信号发生器课程设计

《电子技术课程设计》 题目:多功能信号发生器 院系:电子信息工程 专业:xxxxxxxx 班级:xxxxxx 学号:xxxxxxxx 姓名:xxx 指导教师:xxx 时间:xxxx-xx-xx

电子电路设计 ——多功能信号发生器目录 一..课程设计的目的 二课程设计任务书(包括技术指标要求) 三时间进度安排(10周~15周) a.方案选择及电路工作原理; b.单元电路设计计算、电路图及软件仿真; c.安装、调试并解决遇到的问题; d.电路性能指标测试; e.写出课程设计报告书; 四、总体方案 五、电路设计 (1)8038原理, LM318原理, (2)性能\特点及引脚 (3)电路设计,要说明原理 (4)振动频率及参数计算 六电路调试 要详细说明(电源连接情况, 怎样通电\ 先调试后调试,频率调试幅度调试波行不稳调试 七收获和体会

一、课程设计的目的 通过对多功能信号发生器的电路设计,掌握信号发生器的设计方法和测试技术,了解了8038的工作原理和应用,其内部组成原理,设计并制作信号发生器能够提高自己的动手能力,积累一定的操作经验。在对电路焊接的途中,对一些问题的解决能够提高自己操作能力随着集成制造技术的不断发展,多功能信号发射器已经被制作成专用的集成电路。这种集成电路适用方便,调试简单,性能稳定,不仅能产生正弦波,还可以同时产生三角波和方波。它只需要外接很少的几个元件就能实现一个多种波、波形输出的信号发生器。不仅如此,它在工作时产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%,输出频率范围为0.01Hz~300kHz;方波的输出电压幅度为零到外接电源电压。因此,多功能信号发生器制作的集成电路收到了广泛的应用。 二、课程设计任务书(包括技术指标要求) 任务:设计一个能产生正弦波、方波、三角波以及单脉冲信号发生器。 要求: 1.输出频率为f=20Hz~5kHz的连续可调正弦波、方波和三角波。 2.输出幅度为5V的单脉冲信号。 3.输出正弦波幅度V o= 0~5V可调,波形的非线性失真系数γ≤

脉冲信号发生器

北华航天工业学院 《EDA技术综合设计》 课程设计报告 报告题目:脉冲信号发生器 作者所在系部: 作者所在班级: 作者姓名: 指导教师姓名: 完成时间: 内容摘要 简单介绍了基于FPGA的脉冲信号发生器的设计。通过对系统进行结构分析,采用层次化的设计方法,给出了脉冲信号发生器与数字频率计的VHDL代码,利用Quartus II对其进行

了仿真,并在硬件电路上得以实现其逻辑功能。 关键词 FPGA;Quartus II;脉冲信号发生器

目录 一课程设计任务书 (4) 二概述及技术要点 (5) 三程序编码 (5) 1.主程序编码 (5) 2.辅程序编码 (7) 四设计仿真及结果 (7) 五调试及性能测试 (7) 六课程设计总结 (7) 七参考文献 (7) 八教师评语及成绩 (8) 课程设计任务书 课题名称脉冲信号发生器完成时间2010-12-11

指导教师胡辉职称副教授学生姓名班级 总体设计要求和技术要点 总体设计要求:通过本课程的学习使学生掌握可编程器件、EDA开发系统软件、硬件描述语言和电子线路设计与技能训练等各方面知识;提高工程实践能力;学会应用EDA 技术解决一些简单的电子设计问题。 技术要点: 将输入的时钟作为计数器的计数脉冲,计数结果的第N位是2的N次幂分频。将对应的为数取出就能得到所需的频率。信号发生器系统组成如图1所示,由一分频模块与占空比调节模块共同组成。。 工作内容及时间进度安排 12月9、10号设计程序并初步仿真调试,11号运用试验箱完成设计并验收。 课程设计成果 1.与设计内容对应的软件程序 2.课程设计报告书 3.成果使用说明书 4.设计工作量要求

S7-200高速脉冲串的频率和速度处理

说明: S7-200 提供了利用高速计数器计数脉冲串的选项。使用附件 "Frequency" library, 脉冲串的频率从计数器信号中计算出来,例如,相关的转化之后,从计数器信号中可以确定电机的速度。 概要: 此项任务分为以下三步: 1. 定义一个高速计数器来获取脉冲序列频率 2. 创建程序编码 3. 转换频率为速度 1. 定义一个高速计数器来获取脉冲串频率 首先,使用 STEP 7 Micro/WIN Instruction Wizard 必须用一个高速脉冲计数器来计算外部脉冲。 表格01 列出了 S7-200 可以提供的高速计数器,输入使用和最大的可测量频率。 高速计数器时钟输入最大输入频率CPU HC0 E0.0 30 kHz 221, 222, 224, 224XP, 224XPsi, 226 HC1 E0.6 30 kHz 221, 222, 224, 224XP, 224XPsi, 226 HC2 E1.2 30 kHz 221, 222, 224, 224XP, 224XPsi, 226 HC3 E0.1 30 kHz 221, 222, 224, 224XP, 224XPsi, 226 HC4 E0.3 200 kHz 224XP, 224XPsi

HC5 E0.4 200 kHz 224XP, 224XPsi 表格01 程序: No.说明 1 通过“工具”菜单打开 STEP 7 Micro/WIN 中的 Instruction Wizard 菜单。 图. 01 2 然后从组态目录中选择高速计数器 “HSC”。点击“Next”。 。

图. 02 3 将模式设置到“0”进行单相的上下计算,选择一个计数器 (比如 “HC4”)。 图. 03 Note: 请参照 表 01 选择高速计数器。 4 设置子程序,计数器预调整,计数器值和计数方位。点击“Next”。

信号发生器系统设计

信号发生器系统设计 摘要 本系统是基于AT89S52 单片机的数字式低频信号发生器。采用AT89S52 单片机作为控制核心,外围采用数字/ 模拟转换电路(DAC0832)、稳压电路(MC1403)、运放电路(UA741)、按键和液晶显示屏模块等。通过按键控制可产生方波、锯齿波、三角波、正弦波等,并能通过键盘的输入快速改变波的形状和频率,达到人机交互的目的,同时用液晶显示屏显示对应波形的名称和频率。其设计简单、性能优良,可用于多种需要低频信号源的场所,具有一定的实用性。 关键词:单片机;信号发生器;D/A 转换 一、信号发生器现状 信号发生器又称函数发生器,指用于产生被测电路所需特定参数的电测试信号的仪器。作为当前科研、教学、制造业中一种最常见的通用仪器,市场上常见的信号发生器波形种类有限,输出波形一般固定为正弦波、三角波、锯齿波和方波,不能实现有时在实验或工程应用中需要的特殊信号,给用户使用带来不便。 传统的信号发生器作为一种实验用信号源设备,可以不采用单片机芯片,而完全利用 555 振荡电路由硬件搭接而成,但该结构产生的正弦波信号、矩形波信号和三角波信号却存在波形失真、操控难、频率范围小、结构复杂和体积大等缺陷。在生产实践和科技研究过程中,如工业、农业、通信、生物医学等领域常常要用到低频信号发生器。而由硬件电路构成的低频信号源因其所需的电阻和电容制作上较难,所以参数的精度难以保证;更因其存在体积大、损耗显著、漏电等弱点,在实际应用过程中,电路复杂程度会大大增加,性能往往难以令人满意。 二、单片机在低频信号发生器中的应用 单片机是将中央处理器、存储器、定时器/计数器、输入输出接口等主要计算机件集成在一块芯片上,它是在大规模集成电路技术的基础上发展起来的。因其独特的结构形式,单片机承担了许多大中型计算机无法完成的工作,被广泛的应用于工农业生产、科研、国防及日常生活的各个领域。单片机的制造商主要有荷兰的 Philip 公司、日本的 NEC 公司以及美国的 Intel、Motorola 公司等。和通用微型机相比,单片机主要有以下几个方面的优势: (1)使用方便、可靠性高 (2)体积小、低功耗 (3)功能强大、扩展性强 (4)性价比较高、易于产品化 采用单片机和 DAC0832 数模转换器生成波形,由于是软件滤波,所以可以 有效的滤除高次谐波分量,生成的波形不失真。DAC0832 主要由 8 位 D/A 转换器、8 位DAC 寄存器、8 位输入寄存器以及输入控制电路四部分组成。它的特点是在低频范围内稳定性好、性能高,操作灵活、体积小、价格便宜。 三、基本原理 系统框图如图 3-1 所示

相关主题
文本预览
相关文档 最新文档