当前位置:文档之家› 任意波形信号发生器

任意波形信号发生器

任意波形信号发生器
任意波形信号发生器

目录

一、题目要求及分析 (1)

1.1题目要求 (1)

1.2题目分析 (1)

二、任意波形信号发生器方案设计 (3)

2.1系统设计框图与思路 (3)

2.2 系统设计原理图 (5)

2.3 相关芯片介绍 (6)

三、相关模块具体程序实现 (10)

四、仿真及实际结果与分析 (16)

4.1波形选择及仿真结果 (16)

4.2波形选择及实际结果 (18)

4.3结果分析与相关问题解决 (23)

五、总结与体会 (24)

参考文献 (25)

附录 (26)

一、题目要求及分析

1.1题目要求

任意波形信号发生器

利用FPGA器件产生控制信号及数据信号,经DAC0832和TL082转换产生以下波形:

1)正斜率斜波;

2)正弦波;

3)锯齿波;

4)任意波形。

用示波器观察输出波形。

硬件电路内容和要求:用DAC0832实现数模转换电路,用TLC082实现电流-电压转换电路,画出电路原理图。

软件设计内容和要求:VHDL编程实现任意波形的信号控制器。要求可以用开关切换不同的波形数据输出。

扩展:增加衰减控制信号,通过开关控制衰减倍数,并在数码管显示。

1.2题目分析

VHDL语言是随着集成电路系统化和高度集成化的发展而逐步发展起来的,是一种用于数字系统的设计和测试的硬件描述语言。相比传统的电路系统的设计方法,VHDL 具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。

在本次课程设计中,函数发生器的设计采用自顶向下的系统设计的方法,通过MAX+plusⅡ开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,采用模块化

的设计,对功能的修改和增加,只要修改VHDL源程序,而不必更改硬件电路。实现数字系统硬件的软件化。

任意信号发生器体现在它能选择输出四个波形,即正斜率斜波、正弦波、锯齿波、任意波形;还可以改变波形的某些表征参量,从而控制输出的波形。其主要问题是波形的选择和准备与输出的模拟信号波形相对应的数字信号,前者可以通过外接开关从而选择输出什么样的波形,后者可以通过建立相应波形的数字信号模块得到,然后建立一个信号,用来保存所采点的数据,最后把该信号送给ADC0832的输入数据端口就可以了。这两个主要问题当然在做的过程中还需要考虑到数据的选择、位宽的大小、管脚的取舍等问题。

二、任意波形信号发生器方案设计

函数信号发生器的实现方法通常有以下几种:

(1)用分立元件组成的函数发生器:通常是单函数发生器且频率不高,其工作不很稳定,不易调试。

(2)可以由晶体管、运放IC等通用器件制作,更多的则是用专门的函数信号发生器IC 产生。早期的函数信号发生器IC,如L8038、BA205、XR2207/2209等,它们的功能较少,精度不高,频率上限只有300kHz,无法产生更高频率的信号,调节方式也不够灵活,频率和占空比不能独立调节,二者互相影响。

(3)利用单片FPGA集成芯片的函数发生器:它能产生多种波形,达到较高的频率,且易于调试。鉴于此,很多公司开发了系列FPGA芯片,它们克服了(2)中芯片的缺点,可以达到更高的技术指标,是上述芯片望尘莫及的。又由于其较高的性价比,他们成为制作任意波形信号发生器的首选。

(4)利用专用直接数字合成DDS芯片的函数发生器:能产生任意波形并达到很高的频率。但成本较高。

2.1系统设计框图与思路

1.系统的设计框图

利用电子设计自动化(EDA)技术设计的波形信号发生器,与传统的信号发生器相比,具有高稳定度、高精度、高分辨率的优点。该项目利用Alter 公司的可编程逻辑器件 EPF10K10,采用EDA 技术,实现可通过改变时钟频率来调制频率、幅值的多种常用信号。

根据设计要求,多波形信号发生器主要由5部分组成,即波形数据产生器、波形信号控制器、输出信号寄存器、数/模转换电路、低通滤波电路。在时钟信号的作用下,通过波形信号产生器产生各种波形数据,再由信号控制器通过选择信号要输出的波形及衰减档位,并用数码管显示衰减倍数,经数/模转换电路、低通滤波电路,最终输出所需波形信号。另外,输出波形幅度的调节还可通过改变A/D转换芯片电阻网络的基准电压实现。函数发生器有波形选择开关控制波形的输出,分别能输出正斜率斜波、

锯齿波、正弦波、方波,及任意组合波形,考虑程序的容量,每种波形在一个周期内均的取样点不能太多。

系统的设计框图如下图2.1所示:

时钟

信号

选择信号

衰减显示号出

图2.1 系统设计框图

2.函数发生器的设计思路

本次课程设计采用FPGA作为中心控制逻辑,由于其具有高速和逻辑单元数多的特点,因此可以由FPGA、DAC和I/V运放直接构成信号源发生器的最小系统。在该方案中通过FPGA控制DAC并直接向DAC发送数据,这样就提高了所需波形的频率并绕过了通用存储器读取速度慢的特点,再加上外部的开关按钮就能够简单控制波形切换及改变波形的某些表征参量,能够通过编程实现波形的任意性、幅度变化的灵活性等功能。

本次课程设计采用自顶向下的设计方法进行设计,包含顶层文件的设计和底层文件的设计。顶层的设计是把下层各模块连接起来,采用文本输入的方式,通过元件例化的方法,调用各元件,实现函数发生器的设计。在顶层的设计中,clk,reset,ob,si,dl,sq,tc为系统的控制信号输入端,Q,a,b,c,d,e,f,g为数字信号的输出端口:clk为系统时钟信号输入端,reset为系统复位信号,ob,si,dl,sq 是系统波形选择数码开关,通过改变开关的通断可以选择输出的波形;tc 为信号衰减档位选择,其有,2、4、8三个衰减档位;a,b,c,d,e,f,g为七段数码管显示控制输出,用于显示衰减倍数;FPGA产生数字信号,并且由Q端口送入ADC0832的输入数据端口,这样数字信号经D/A转换器转换成为模拟信号。但是DAC0832C 以电流形式输出转换结果,若要得到电压形式的输出结果需另加I/V转换电路,这时可采用运算放大器,这里我们采用TL082实现电流-电压转换,即可转换成模拟电压信号,输出的波形经过低通滤波电路可以滤除毛刺及干扰信号,这样就可以得到较清晰的波形,可以通过示波器观察所得波形。

底层设计建立基本的模块,实现相应的功能,便于元件例化,包含波形选择、正弦波、正斜率斜波、锯齿波、任意波形等模块: 波形选择模块外接开关,利用开关选

择要输出的波形及产生相应的数字信号,以便于送入ADC0832的输入数据端口进行数据转换,从而输出所选择的波形;正弦波模块、正斜率斜波模块、锯齿波模块、任意波形模块分别产生与各自相对应的正弦波的数字信号、正斜率斜波的数字信号、锯齿波的数字信号、任意波形的数字信号等模块,各个波形模块所产生的数字信号送入波形选择器中,以便于波形选择器选择并输出数字信号。此外,信号的频率和幅值及其他表征参数可通过时钟(CLK)信号的改变来调节。

值得注意的是,波形数据的建立是整个程序设计中最重要的问题。FPGA输出的数字信号需要经D/A转换器转换成各种波形输出。而由D/A转换器可知,DAC0832的分辨率是8位,这样,将模拟信号的各种波行在一个周期内平均分成255份,由于已经确定每周期的取样点数为64,即每隔2π/64的间隔取值一次,所取的值为该点对应的波形的值,通过计算可以获得64个取样点的值;也可以通过查表的方法取得64个取样点的值。

2.2 系统设计原理图

如图2.2所示为系统设计原理图,其主要由数据寄存器74LS244,D/A转换器DAC0832及有运放TL082构成的低通滤波电路组成。其中,可通过JJ1、JJ2、JJ3和JJ4改变滤波特性。此外,由于DAC0832输出的为电流信号,需用运放将电流转换为电压信号,再通过滤波电路进行滤波产生最终输出波形信号。

图2.2 系统设计原理图

2.3 相关芯片介绍

数模转换芯片DAC0832:

D/A 转换器的类型很多,从输入电路来说,一般的D/A 转换器都带有输入寄存器,与微机能直接对接。在此方案中采用分辨率为8位的DAC0832集成电路芯片。它由NSC 公司(National Semiconductor Corporation )所生产,采用低功耗的CMOS 工艺制成。它具有连接简单,转换控制方便、价格低廉等特点,在各微机系统中得到广泛的应用。 DAC0832的结构框图如图2.3所示:

数据寄存

D/A 转换

滤波

I/U 转换

图2.3 DAC832结构框图

DAC0832是具有20个引脚的双列直插式芯片。其内部实际上是一个T型电阻网络在外部运算放大器配合下完成D/A转换工作。DAC0832的内部包括两个8位寄存器、1个8位转换器和相应辅助电路。DAC0832内部有两个寄存器,能实现双缓冲、单缓冲和直通三种工作方式。

DAC0832的引脚图如图2.4所示,其功能如下:

图2.3 DAC832结构框图

DI0~DI7:数据输入线,TLL电平。

ILE:数据锁存允许控制信号输入线,高电平有效。

CS:片选信号输入线,低电平有效。

WR1:为输入寄存器的写选通信号。

XFER:数据传送控制信号输入线,低电平有效。

WR2:为DAC寄存器写选通输入线。

Iout1:电流输出线。当输入全为1时Iout1最大。

Iout2: 电流输出线。和IOUT1为一组互补的模拟电流输出端,其值与Iout1之和为一常数。

Rfb:反馈信号输入线,芯片内部有反馈电阻,与运放配合构成I/V转换电路。

Vcc:电源输入线 (+5v~+15v)

Vref:基准电压输入线 (-10v~+10v)

AGND:模拟地,摸拟信号和基准电源的参考地.

DGND:数字地,两种地线在基准电源处共地比较好.

TL082双运算放大器:

TL082是一通用的J-FET双运用算放大器,其特点有:较低输入偏置电压和偏移电流:输出没有短路保护,输入级具有较高的输入阻抗,内建频率被子偿电路,较高的压摆率。最大工作电压为18V。

1.TL082引脚功能(见表280)

2.TL082内部框图(见图2.4)

图2.4 TL082内部框图

三、相关模块具体程序实现

正斜率斜波设计模块:

process(clk,reset)is

begin

if(reset='1') then tmp<="00000000"; --异步复位

elsif(clk'event and clk='1') then

if(tmp<"11111111") then

tmp<=tmp+'1'; --未达最大值以正斜率递增

else

tmp<="11111111"; --达最大值后维持高电平

end if;

end if;

Q<=tmp;

end process;

正弦波设计模块:

DAC0832的分辨率是8位,这样,将模拟信号的正弦波在一个周期内平均分成255份,由于已经确定每周期的取样点数为64 ,所取的值为该点对应的正弦值,可用加法计数器和译码电路完成。首先对幅度为1的正弦波的一个周期分为64个采样点,根据正弦波的函数关系计算得到每一点对应的幅度值,然后量化为8位二进制数据,最大值为255,最小值为0,以此得到正弦波波表。加法计数器生成译码电路的64个输入值,译码电路查波表输出。具体进程如下:

process(clk,reset)is --clk、reset分别为时钟和复位信号begin

if(reset='1') then tmp<=31; --异步复位

elsif(clk'event and clk='1') then

if(tmp=63) then

tmp<=0;

else

tmp<=tmp+1;

end if;

case tmp is

when 00=>d<=255;when 01=>d<=254;when 02=>d<=252;

when 03=>d<=249;when 04=>d<=245;when 05=>d<=239;

when 06=>d<=233;when 07=>d<=225;when 08=>d<=217;

when 09=>d<=207;when 10=>d<=197;when 11=>d<=186;

when 12=>d<=174;when 13=>d<=162;when 14=>d<=150;

when 15=>d<=137;when 16=>d<=124;when 17=>d<=112;

when 18=>d<=99;when 19=>d<=87;when 20=>d<=75;

when 21=>d<=64;when 22=>d<=53;when 23=>d<=43;

when 24=>d<=34;when 25=>d<=26;when 26=>d<=19;

when 27=>d<=13;when 28=>d<=8;when 29=>d<=4;

when 30=>d<=1;when 31=>d<=0;when 32=>d<=0;

when 33=>d<=1;when 34=>d<=4;when 35=>d<=8;

when 36=>d<=13;when 37=>d<=19;when 38=>d<=26;

when 39=>d<=34;when 40=>d<=43;when 41=>d<=53;

when 42=>d<=64;when 43=>d<=75;when 44=>d<=87;

when 45=>d<=99;when 46=>d<=112;when 47=>d<=124;

when 48=>d<=137;when 49=>d<=150;when 50=>d<=162;

when 51=>d<=174;when 52=>d<=186;when 53=>d<=197;

when 54=>d<=207;when 55=>d<=217;when 56=>d<=225;

when 57=>d<=233;when 58=>d<=239;when 59=>d<=245;

when 60=>d<=249;when 61=>d<=252;when 62=>d<=254;

when 63=>d<=255;when others=>null;

end case;

end if;

Q<=conv_std_logic_vector(d,8); --整形数据强制转换为8位位矢量end process;

锯齿波设计模块:

锯齿波在一个周期内的波形也是线性增长的,所以锯齿波的取值可以从0递加到最大值,再返回到0,循环实现。

process(clk,reset)is --clk、reset分别为时钟和复位信号begin

if(reset='1') then tmp<="00000000"; --异步复位

elsif(clk'event and clk='1') then --检测时钟上升沿

if(tmp<"11111111") then

tmp<=tmp+'1';

else

tmp<="00000000"; --输出最大是降为零

end if;

end if;

Q<=tmp;

方波设计模块:

由于方波的占空比是50%,且只有两个状态,所以方波的取样比较简单。即前半周期取样点取值为低电平“00000000”,后半周期取样点取值为高电平“11111111”就可以了。通过与“11111111”异或,交替送出8位全0和全1,并给以10个时钟延时实现,20个时钟为一个周期。

process (clk,reset) is

begin

if(reset='1') then tmp<="00000000"; --异步复位

elsif(clk'event and clk='1') then --检测时钟上升沿

if(cc<9) then

cc<=cc+1;

else

cc<=0;

end if;

if cc=9 then tmp<=tmp xor "11111111"; --异或取反

end if;

end if;

Q<=tmp;

end process;

波形信号选择控制模块:

波形数据信号选择器通过设置四位选择开关,根据四位外部开关的状态,选择调用上述设计的四种波形模块的一种或其中二者的组合。用CASE语句设计完成要求信号选择,在外接开关的控制下选择输出一种波形数据输出,或完成两种波形的线性组合。

波形组合是将波形每一时刻的数值相加,为了不超出DAC0832的输出范围,做相应的除2操作。

process (ob,si,dl,sq) is

begin

tmp<=ob&si&dl&sq; --将四位开关并置为四位信号数组

case tmp is

when "1000"=>Q<=obl; --斜波选择

when "0100"=>Q<=sin; --正弦波选择

when "0010"=>Q<=dlt; --锯齿波选择

when "0001"=>Q<=squ; --方波选择

when "1100"=>tt<="00"&obl+sin;Q<=tt(8 downto 1); --组合波形

when "1010"=>tt<="00"&obl+dlt;Q<=tt(8 downto 1);

when "1001"=>tt<="00"&obl+squ;Q<=tt(8 downto 1);

when "0110"=>tt<="00"&sin+dlt;Q<=tt(8 downto 1);

when "0101"=>tt<="00"&sin+squ;Q<=tt(8 downto 1);

when "0011"=>tt<="00"&dlt+squ;Q<=tt(8 downto 1);

when others=>null;

end case;

end process;

顶层模块主要部分设计:

1.元件定义及例化

元件定义语句在结构体说明部分进行描述如下(以选择模块元件定义为例):component chs is

port(ob,si,dl,sq: in std_logic;

obl,sin,dlt,squ: in std_logic_vector(7 downto 0);

Q:out std_logic_vector(7 downto 0));

end component chs;

之定义元件对应的元件例化语句置于结构主体中:

u4: chs port map(ob,si,dl,sq,JJ,KK,LL,ZZ,Q);

2.进程启动DAC832:

process(clk)is

begin

if(clk'event and clk='1') then

if(reset='1') then

wr<='1';

else

wr<='0';

end if;

end if;

end process;

3.信号衰减选择与衰减档位显示进程

process(tc,J,K,L,Z)is

begin

case tc is --检测选择信号

when "00" =>JJ<=J;KK<=K;LL<=L;ZZ<=Z; --不衰减

when "01" =>JJ<='0'&J(7 downto 1);KK<='0'&K(7 downto 1); --2倍衰减LL<='0'&L(7 downto 1);ZZ<='0'&Z(7 downto 1);

when "10" =>JJ<="00"&J(7 downto 2);KK<="00"&K(7 downto 2); --4倍衰减

LL<="00"&L(7 downto 2);ZZ<="00"&Z(7 downto 2);

when "11" =>JJ<="000"&J(7 downto 3);KK<="000"&K(7 downto 3); --8倍衰减

LL<="000"&L(7 downto 3);ZZ<="000"&Z(7 downto 3);

when others=>null;

end case;

if tc="00" then s<="1111110"; --送衰减显示值至数码管显示elsif tc="01" then s<="1101101";

elsif tc="10" then s<="0110011";

elsif tc="11" then s<="1111111";

end if;

a<=s(6);b<=s(5);c<=s(4);d<=s(3);e<=s(2);f<=s(1);g<=s(0);

end process;

四、仿真及实际结果与分析

4.1波形选择及仿真结果

仿真环境是ALTERA公司的MAX+plusⅡ软件的一项特殊功能,设置不同的输入信号,可实现不同的波形数据的输出。根据选择的不同开关信号组合,可得到如下图4.1所示各种仿真数据。

(a)正斜率斜波仿真波形

(b)正弦波仿真波形

(c)锯齿波仿真波形

(d)方波仿真波形

(e)锯齿波与方波组合仿真波形

图4.1不同选择、零衰减仿真波形

如图4.1均为零衰减仿真信号,当改变tc1和tc0信号时,可改变输出波形数据衰减信号,且可通过a,b,c,d,e,f,g向七段显示数码管送数据,显示选择的衰减倍数。以方波衰减为例,其衰减波形输出仿真如下图4.2所示。当tc1和tc0为“10”时,仿真波形数据由FF变为3F,呈现4倍衰减。此时,(a,b,c,d,e,f,g)为“0110011”对于共阴极数码管显示数字4。

图4.2 正弦波4倍衰减仿真波形

4.2波形选择及实际结果

将编译好的程序送入FPGA芯片EPF10K10,通过改变选择开关,用示波器检测经滤波的输出波形,探头连接如图4.3所示:

图4.2 D/A转换硬件模块及探头连接

此外,在滤波特性选择上,为达到理想效果我们选择JJ2和JJ3闭合。同时,还需对拨码开关进行选择,具体如下:

AS1(3)置ON使K1-K8有效;

JS1(1)置ON使DAC832处于连接态;

JS1(5)置ON使ADC0809有效;

JS1(8)置ON使DAC0832的WR1端与I/O51相连;

各不同信号选择开关对应实际输出波形如图4.4所示:

(a)正斜率斜波零衰减高电平输出波形

多波形函数信号发生器方案

个人资料整理仅限学习使用中文摘要

英文摘要

目录 1 引言.......................................................... - 1 - 2函数信号发生器设计要求及过程.................................. - 2 - 2.1函数信号发生器设计要求 (2) 2.2函数信号发生器电路设计的基本原理 (2) 2.3运算放大器的介绍 (3) 2.3.1迟滞电压比较器......................................... - 3 - 2.3.2 积分电路.............................................. - 5 - 2.4差分放大器的介绍 (6) 3总体电路设计 (7) 3.1方波—三角波产生电路的设计 (7) 3.2三角波—正弦波变换电路的设计 (11) 4.1EWB软件的简介 (15) 4.1.1 EWB软件的概述........................................ - 15 - 4.1.2 EWB软件的基本操作方法................................ - 15 - 4.2函数信号发生器的仿真过程及结果 (16) 4.2.1使用EWB对电路进行设计和实验仿真的基本步骤............. -16 - 4.2.2方波—三角波信号发生器电路的装调及仿真结果............ - 16 - 4.2.3三角波—正弦波变换电路的装调和仿真.................... - 17 - 结论........................................................... - 20 - 参考文献

信号发生器概述

信号发生器概述 凡是产生测试信号的仪器,统称为信号源,也称为信号发生器,它用于产生被测电路所需特定参数的电测试信号。 信号源是根据用户对其波形的命令来产生信号的电子仪器。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在电子实验和测试处理中,并不测量任何参数,而是根据使用者的要求,仿真各种测试信号,提供给被测电路,以达到测试的需要。 信号源的分类和作用 信号源有很多种分类方法,其中一种方法可分为混和信号源和逻辑信号源两种。其中混和信号源主要输出模拟波形;逻辑信号源输出数字码形。混和信号源又可分为函数信号发生器和任意波形/函数发生器,其中函数信号发生器输出标准波形,如正弦波、方波等,任意波/函数发生器输出用户自定义的任意波形;逻辑信号发生器又可分为脉冲信号发生器和码型发生器,其中脉冲信号发生器驱动较小个数的的方波或脉冲波输出,码型发生器生成许多通道的数字码型。如泰克生产的AFG3000系列就包括函数信号发生器、任意波形/函数信号发生器、脉冲信号发生器的功能。 另外,信号源还可以按照输出信号的类型分类,如射频信号发生器、扫描信号发生器、频率合成器、噪声信号发生器、脉冲信号发生器等等。信号源也可以按照使用频段分类,不同频段的信号源对应不同应用领域。 下面我们将对函数信号发生器和任意波形/函数发生器做简要介绍: 1、函数信号发生器 函数发生器是使用最广的通用信号源,提供正弦波、锯齿波、方波、脉冲波等波形,有的还同时具有调制和扫描功能。 函数波形发生器在设计上分为模拟式和数字合成式。众所周知,数字合成式函数信号源(DDS)无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟式,其锁相环(PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phaseJitter)及频率漂移均能达到相当稳定的状态,但数字式信号源中,数字电路与模拟电路之间的干扰始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发生器,如今市场上的大部分函数信号发生器均为DDS信号源。 2、任意波形发生器 任意波形发生器,是一种特殊的信号源,不仅具有一般信号源波形生成能力,而且可以仿真实际电路测试中需要的任意波形。在我们实际的电路的运行中,由于各种干扰和响应的存在,实际电路往往存在各种缺陷信号和瞬变信号,如果在设计之初没有考虑这些情况,有的将会产生灾难性后果。任意波发生器可以帮您完成实验,仿真实际电路,对您的设计进行全面的测试。 由于任意波形发生往往依赖计算机通讯输出波形数据。在计算机传输中,通过专用的波

DSP多波形信号发生器

数字信号处理(DSP) 综合设计性实验报告 学院:电子信息工程学院 班级:自动化 指导教师: 学生: 北京交通大学电工电子教学基地 2014年9月20日

目录 一实验目的 (3) 二实验技术指标与设计要求 (3) 三实验原理 (3) 四实验操作 (4) 五程序设计 (10) 六硬件输出演示 (16) 七实验感想与体会 (22) 八参考文献 (23)

一 实验目的 1 掌握多波形信号发生器的DSP 设计可使学生更加透彻的理解和应用奈奎斯特采样定理,提 高学生系统地思考问题和解决问题的能力。 2 通过对DSP 信号处理器及D/A 转换器的编程,可以培养学生C 语言编程能力以及使用DSP 硬件平台实现数字信号处理算法的能力。 3 学习并掌握使用DSP 产生正弦波、方波、三角波、锯齿波灯信号的原理和算法,并利用GEL 文件实现频率和幅度的自动可调。 4 掌握利用CCS 建立工程、编译与调试代码的基本过程,可以在软件中观察图形及变量,并利用硬件进行输出显示。 5 掌握产生多种波形的理论方法,并比较产生信号的两种主要方法(查表发和计算法)的优缺点。 二 实验技术指标与设计要求 1 基本部分 1) 使用DSP 产生300—16000Hz 的正弦、方波、锯齿波和三角波信号,输出信号的幅度从 0~1VRMS (有效值)。要求使用计算法,并且频率可变、幅度可变。 2) 调节信号的频率和幅度时不能中断程序的运行。(提示:可以使用CCS 下的GEL 语言实现此功能) 2 发挥部分 在实验板的信号输出端分别接入16欧姆和32欧姆负载电阻,信号仍然保持空载时所设定的 输出幅度。 三 实验原理 1 产生连续的波形的方法主要有以下两种方法: 1)查表法:把事先将需要输出的数据计算好,存储在DSP 中,然后依次输出就可以了。查表法的优点是速度快,可以产生频率较高的波形,而且不占用DSP 的计算时间;查表法的缺点是在于需要占用DSP 的内部的存储空间,尤其对采样频率比较大的输出波形,这样,需要占用的内部的空间将更大,而DSP 内部的存储空间毕竟有所限制。这使得查表法的应用场合十分有限。 2)计算法:计算法可以使用泰勒级数展开法进行计算,也可以使用差分方程进行迭代计算或者直接使用三角函数进行计算。计算结果可以边计算边输出,也可以先计算后输出。计算法的使用比查表法灵活。计算法的优缺点正好和查表法相反。即:其优点是不占用DSP 的存储空间,其缺点是占用DSP 的计算时间,使得执行程序的开销变大。 本实验将用第二种方法即计算法产生一个正弦波信号,从DA 输出。正弦函数和余弦函数的泰勒级数数学表达式为: =x sin +-+-+-+---)1(121 9753x x x x x x n n ,x ?),(∞-∞∈

函数波形信号发生器

函数波形发生器设计 摘要 函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。本课题采用由集成运算放大器与晶体管差分放大器共同组成的方波—三角波—正弦波函数发生器的设计方法,先通过比较器产生方波,再通过积分器产生三角波,最后通过差分放大器形成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。 经过仿真得出了方波、三角波、正弦波、方波——三角波转换及三角波——正弦波转换的波形图。 关键字:函数信号发生器、集成运算放大器、晶体管差分放 设计目的、意义 1 设计目的 (1)掌握方波—三角波——正弦波函数发生器的原理及设计方法。 (2)掌握迟滞型比较器的特性参数的计算。 (3)了解单片集成函数发生器8038的工作原理及应用。 (4)能够使用电路仿真软件进行电路调试。 2 设计意义 函数发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。 在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都学要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而广泛用于通信、雷达、导航、宇航等领域。 设计内容 1 课程设计的内容与要求(包括原始数据、技术参数、条件、设计要求等): 1.1课程设计的内容 (1)该发生器能自动产生正弦波、三角波、方波。 (2)函数发生器以集成运放和晶体管为核心进行设计 (3)指标: 输出波形:正弦波、三角波、方波 频率范围:1Hz~10Hz,10Hz~100Hz 输出电压:方波VP-P≤24V,三角波VP-P=8V,正弦波VP-P>1V; (4)对单片集成函数发生器8038应用接线进行设计。 1.2课程设计的要求 (1)提出具体方案 (2)给出所设计电路的原理图。 (3)进行电路仿真,PCB设计。 2 函数波形发生器原理 2.1函数波形发生器原理框图 图2.1 函数发生器组成框图

信号发生器设计(附仿真)

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p =6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶体管的截止电压值。 m 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2 调整电路的对称性,并联电阻R E2 用来减小差 分放大器的线性区。C 1、C 2 、C 3 为隔直电容,C 4 为滤波电容,以滤除谐波分量,改善输出 波形。 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n个波段范围。 ③输出电压:一般指输出波形的峰-峰值U p-p。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r~和r△;表征方波特性的参数是上升时间t r。 四、电路仿真与分析

多波形函数信号发生器

多波形函数信号发生器

————————————————————————————————作者:————————————————————————————————日期:

电子课程设计 设计题目:多波型信号发生器 系部:信息工程学院 专业:电子信息工程 班级:1301班 学号:8 姓名:高旭 指导老师:陈亮

目录 一设计要求 (3) 二总体概要设计 (3) 三各单元模块设计与分析······························································································4 3.1 正弦波发生 器 (4) 3.1.1 RC桥式振荡 器····························································································4 3.2方波转化电 路 (6) 3.2.1555定时 片································································································6 3.2.2由555芯片构成的施密特触发 器 (7) 3.2.3方波幅度调节电 路 (8) 3.3三角波转化电路 (8) 3.3.1RC无源积分器 (8) 3.3.2自举电路反相放大器················································································9 四总电路图 (10)

多波形信号发生器设计 电子技术课程设计

湖南文理学院课程设计报告 课程名称:电子技术课程设计 教学院部:电气与信息工程学院 专业班级:通信工程08101班 学生姓名:林洪湖(200816020143) 指导教师:邱德润 完成时间:2010 年6月25日 报告成绩:

目录 1.绪论 (3) 信号发生器现状 (3) 2.系统设计 (3) 控制芯片的选择 (4) 3.硬件电路的设计 (4) 3.1基本原理: (4) 3.2各部分电路原理 (8) 4.软件设计 (14) 4.1主程序流程图 (14) 4.2子程序流程图 (15) 5.测试结论 (18) 5.1软件仿真结果 (19) 5.2硬件测试结果 (21) 参考文献 (21)

多波形信号发生器设计 1.绪论 1.1信号发生器现状 波形发生器亦称函数发生器,作为实验用信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。目前,市场上常见的波形发生器多为纯硬件的搭接而成,且波形种类有限,多为锯齿、正弦、方波、三角等波形。 信号发生器作为一种常见的应用电子仪器设备,传统的可以完全由硬件电路搭接而成,如采用555振荡电路发生正弦波、三角波和方波的电路便是可取的路径之一,不用依靠单片机。但是这种电路存在波形质量差,控制难,可调范围小,电路复杂和体积大等缺点。在科学研究和生产实践中,如工业过程控制,生物医学,地震模拟机械振动等领域常常要用到低频信号源。而由硬件电路构成的低频信号其性能难以令人满意,而且由于低频信号源所需的RC很大;大电阻,大电容在制作上有困难,参数的精度亦难以保证;体积大,漏电,损耗显著更是其致命的弱点。一旦工作需求功能有增加,则电路复杂程度会大大增加。 本次用要用到的有函数发生器5G8038、集成振荡器E1648、集成定时器555/556. 2.系统设计 2.1系统方案 方案:采用函数信号发生器5G8038集成模拟芯片,它是一种可以同时产生方波、三角波、正弦波的专用集成电路。但是这种模块产生的波形都不是纯净的波形,会寄生一些高次谐波分量,采用其他的措施虽可滤除一些,但不能完全滤除掉。

信号发生器分析报告

信号发生器报告

————————————————————————————————作者:————————————————————————————————日期:

基于虚拟仪器的信号发生器的设计 【摘要】虚拟仪器是将仪器技术、计算机技术、总线技术和软件技术紧密的融合在一起,利用计算机强大的数字处理能力实现仪器的大部分功能,打破了传统仪器的框架,形成的一种新的仪器模式。 本次设计主要是阐述虚拟信号发生器的前面板和程序框图的设计。设计完的信号发生器的功能包括能够产生正弦波、矩形波、三角波、锯齿波四种信号波形;波形的频率、幅值、相位、偏移量及占空比等参数由前面板控件实时可调。 【关键词】虚拟仪器,信号发生器,LABVIEW 引言 信号发生器作为科学实验必不可少的装置,被广泛地应用到教学、科研等各个领域。高等学校特别是理工科的教学、科研需要大量的仪器设备,例如信号源、示波器等,常用仪器都必须配置多套,但是有些仪器设备价格昂贵,如果按照传统模式新建或者改造实验室投资巨大,造成许多学校仪器设备缺乏或过时陈旧,严重影响教学科研。如果运用虚拟仪器技术构建系统,代替常规仪器、仪表,不但可以满足实验教学的需要、节约大量的经费、降低实验室建设的成本,而且能够提高教学科研的质量与效率。 1.信号发生器的发展 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。同时还出现了可用来测量脉冲电路或用作脉冲调制器的脉冲信号发生器。由于早期的信号发生器机械结构比较复杂,功率比较大,电路比较简单,因此发展速度比较慢。直到1964年才出现第一台全晶体管的信号发生器。 自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形,由于模拟电路的漂移较大,使其输出的波形的幅度稳定性差,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形则电路结构非常复杂。自从70年代微处理器出现以后,利用微处理器、模数转换器和数

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

正弦波函数信号发生器

电子技术课程设计报告 电子技术课程设计报告——正弦波函数信号发生器的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:班级:班级学号:学生姓名:

目录 一、预备知识 (1) 二、课程设计题目:正弦波函数信号发生器 (2) 三、课程设计目的及基本要求 (2) 四、设计内容提要及说明 (3) 4.1设计内容 (3) 4.2设计说明 (3) 五、原理图及原理 (8) 5.1功能模块电路原理图 (9) 5.2模块工作原理说明 (10) 六、课程设计中涉及的实验仪器和工具 (12) 七、课程设计心得体会 (12) 八、参考文献 (12)

一、预备知识 函数发生器是一种在科研和生产中经常用到的基本波形生产期,现在多功能的信号发生器已经被制作成专用的集成电路,在国内生产的8038单片函数波形发生器,可以产生高精度的正弦波、方波、矩形波、锯齿波等多种信号波,这中产品和国外的lcl8038功能相同。产品的各种信号频率可以通过调节外接电阻和电容的参数进行调节,快速而准确地实现函数信号发生器提供了极大的方便。发生器是可用于测试或检修各种电子仪器设备中的低频放大器的频率特性、增益、通频带,也可用作高频信号发生器的外调制信号源。顾名思义肯定可以产生函数信号源,如一定频率的正弦波,有的可以电压输出也有的可以功率输出。下面我们用简单的例子,来说明函数信号发生器原理。 (a) 信号发生器系统主要由下面几个部分组成:主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器(输出变压器)和指示电压表。 (b) 工作模式:当输入端输入小信号正弦波时,该信号分两路传输,其一路径回路,完成整流倍压功能,提供工作电源;另一路径电容耦合,进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出。输出端为可调电阻。 (c) 工作流程:首先主振级产生低频正弦振荡信号,信号则需要经过电压放大器放大,放大的倍数必须达到电压输出幅度的要求,最后通过输出衰减器来直接输出信号器实际可以输出的电压,输出电压的大小则可以用主振输出调节电位器来进行具体的调节。 它一般由一片单片机进行管理,主要是为了实现下面的几种功能: (a) 控制函数发生器产生的频率; (b) 控制输出信号的波形; (c) 测量输出的频率或测量外部输入的频率并显示; (d) 测量输出信号的幅度并显示; (e) 控制输出单次脉冲。 查找其他资料知:在正弦波发生器中比较器与积分器组成正反馈闭环电路,方波、三角波同时输出。电位器与要事先调整到设定值,否则电路可能会不起振。只要接线正确,接通电源后便可输出方波、三角波。微调Rp1,使三角波的输出幅度满足设计要求,调节Rp2,则输出频率在对应波段内连续可变。 调整电位器及电阻,可以使传输特性曲线对称。调节电位器使三角波的输出幅度经R输出等于U值,这时输出波形应接近正弦波,调节电位器的大小可改善波形。 因为运放输出级由PNP型与NPN型两种晶体管组成复合互补对称电路,输

多功能信号发生器课程设计

《电子技术课程设计》 题目:多功能信号发生器 院系:电子信息工程 专业:xxxxxxxx 班级:xxxxxx 学号:xxxxxxxx 姓名:xxx 指导教师:xxx 时间:xxxx-xx-xx

电子电路设计 ——多功能信号发生器目录 一..课程设计的目的 二课程设计任务书(包括技术指标要求) 三时间进度安排(10周~15周) a.方案选择及电路工作原理; b.单元电路设计计算、电路图及软件仿真; c.安装、调试并解决遇到的问题; d.电路性能指标测试; e.写出课程设计报告书; 四、总体方案 五、电路设计 (1)8038原理, LM318原理, (2)性能\特点及引脚 (3)电路设计,要说明原理 (4)振动频率及参数计算 六电路调试 要详细说明(电源连接情况, 怎样通电\ 先调试后调试,频率调试幅度调试波行不稳调试 七收获和体会

一、课程设计的目的 通过对多功能信号发生器的电路设计,掌握信号发生器的设计方法和测试技术,了解了8038的工作原理和应用,其内部组成原理,设计并制作信号发生器能够提高自己的动手能力,积累一定的操作经验。在对电路焊接的途中,对一些问题的解决能够提高自己操作能力随着集成制造技术的不断发展,多功能信号发射器已经被制作成专用的集成电路。这种集成电路适用方便,调试简单,性能稳定,不仅能产生正弦波,还可以同时产生三角波和方波。它只需要外接很少的几个元件就能实现一个多种波、波形输出的信号发生器。不仅如此,它在工作时产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%,输出频率范围为0.01Hz~300kHz;方波的输出电压幅度为零到外接电源电压。因此,多功能信号发生器制作的集成电路收到了广泛的应用。 二、课程设计任务书(包括技术指标要求) 任务:设计一个能产生正弦波、方波、三角波以及单脉冲信号发生器。 要求: 1.输出频率为f=20Hz~5kHz的连续可调正弦波、方波和三角波。 2.输出幅度为5V的单脉冲信号。 3.输出正弦波幅度V o= 0~5V可调,波形的非线性失真系数γ≤

基于lm324多用信号发生器

电子线路课程设计注意事项 1、本课程设计采用抽签形式选择相应题目,一般为4人一组,简 单设计以2人为一组;每组推出一个组长。 2、每组必须完成电路原理图、PCB版图、元器件焊接以及装置通 电试验,以及答辩的PPT。 3、每组组内成员为同一成绩。组内分工要明确,合作要和谐。具 体成绩包括焊接质量(5%)、电路完成情况(60%)、课程设计报告撰写(20%)、答辩(15%)。指导教师有权力根据综合情况调整分数。 4、指导教师为程志友、鲍文霞,按照大家选课时名单填写。 5、具体课程设计报告见附录。 6、未尽事项等候通知,其它事宜可和我联系。

附录: 《电子线路》课程设计报告 基于lm324的多用信号发生题目 器 学院 专业 组长姓名和学 号 学生姓名和学 号 指导教师 2016 年7 月7 日

目录

一选题目的及意义 设计电路的介绍和应用 本次课程设计以四运算放大器LM324为核心器件,通过迟滞比较器和积分器产生方波和三角波。再通过滤波电路和放大电路产生正弦波。它是信号发生器的基本原理电路,通过波形变换电路,可把它做成多用信号发生器。可应用于电子技术工程、通信工程、自动控制、仪器仪表及计算机技术等领域内。几乎所有的电参量在电子测量技术应用中都需要借助信号发生器进行测量。 按其信号波形分为四大类:①正弦信号发生器。主要用于测量电路和系统的频率特性、非线性失真、增益及灵敏度等。按其不同性能和用途还可细分为低频(20赫至10兆赫)信号发生器、高频(100千赫至300兆赫)信号发生器、微波信号发生器、扫频和程控信号发生器、频率合成式信号发生器等。②函数(波形)信号发生器。能产生某些特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可从几个微赫到几十兆赫。除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。③脉冲信号发生器。能产生宽度、幅度和重复频率可调的矩形脉冲的发生器,可用以测试线性系统的瞬态响应,或用作模拟信号来测试雷达、多路通信和其他脉冲数字系统的性能。④随机信号发生器。通常又分为噪声信号发生器和伪随机信号发生器两类。噪声信号发生器主要用途为:在待测系统中引入一个随机信号,以模拟实际工作条件中的噪声而测定系统性能;外加一个已知噪声信号与系统内部噪声比较以测

基于max038的信号发生器设计说明

一、课题名称:函数信号发生器 二、主要技术指标(或基本要求): 1)能精密地产生三角波、锯齿波、矩形波(含方波)、正弦波信号。 2)频率范围从0.1Hz~20MHz,最高可达40MHz,各种波形的输出幅度均为2V(P-P)。 3)占空比调节范围宽,占空比和频率均可单独调节,二者互不影响,占空比最大调节范围是 15%~85%。 4)波形失真小,正弦波失真度小于0.75%,占空比调节时非线性度低于2%。 5)采用±5V双电源供电,允许有5%变化范围,电源电流为80mA,典型功耗400mW,工作温 度范围为0~70℃。 6)内设2.5V电压基准,可利用该电压设定FADJ、DADJ的电压值,实现频率微调和占空比调 节。 7)低阻抗定压输出,输出电阻典型值0.1欧姆,具有输出过载/短路保护。 三、主要工作内容:方案设想,MAX038,OP07,电路原理等资料查询准备。电路原理图设 计绘制,面包板验证设计可行性。之后进行PCB板设计调整,电路板定制,元件采购;裸板 测试,焊接,整机测试。实验设计进行报告反馈 四、主要参考文献: [1]赵涛,辛灿华,姚西霞,陈晓娟,基于MAX038的多功能信号发生器的设计。《机电产品 与创新》 2008.07 [2]蒋金弟,朱永辉,毛培法。MAX038高频精密函数信号发生器原理及应用。《山西电子技 术》 2001 [3]黄庆彩,祖静,裴东兴.基于MAX038的函数信号发生器的设计[J].仪器仪表学报,2004,S1. [4]陈一新.单片高频函数发生器MAX038及其应用[J].中国仪器仪表,2002,04. [5]赵立民.电子技术实验教程[M].北京:机械工业出版社,2004

实验四 多种信号音及铃流信号发生器

学院:专业:班级:

图4—1 本实验系统传送信号流程图 4、数字信号的产生 在数字程控交换机中直接进行交换的是PCM数字信息,在这样的情况下如何使用户家收到信号音(如拨号音、回铃音、忙音等)是一个重要的问题。因为模拟信号产生的信号音是不能通过PCM交换系统的,这就要求设计一个数字信号发生器,使之能与交换网络输出这样一些PCM信息,这些数字信息经过非线性译码后能成为一个我们所需的模拟信号音。 )传统方式产生数字信号音

图4—3 450HZ正弦波信号一个周期取样示意图 我们对正弦信号再以每隔125us取样一次,并将取样所得的正弦信号幅度按照A规律十 图4—4 数字信号产生电流原理图 5、拨号音及控制电路 主叫用户摘机,CPU检测到该用户有摘机状态后,立即向该用户发出声音信号,表示可以拨号,当CPU中央处理单元收到第一个拨号脉冲后,立即切断该声音信号,该声音信号就叫拨号音。拨号音由上述数字信号产生,一旦一有用户摘机,交换网路把数字信号音送给该用户,经过TP3067的译码,提供给用户450hz的正弦波。

图4—5断续电路原理图 7、忙音及控制电路 忙音表示被叫用户处于忙状态,此时用户应该挂机,等一会在从新呼叫 本试验箱大于采用0、35秒断,0、35秒继续的400hz—450hz的方波信号,图4—6是该电路的原理图。 图4—6忙音控制电路的原理图。

图4—7铃流信号发生电路的原理图 上述四种信号在本实验系统中均有具体的电路实现,然而在程控交换机中,信号音还不止上述几种,在此做一简单介绍,不作实验要求。 1、数字程控交换原理实验箱 2、电话机 F=25hz,Vpp=2.0V

任意波形信号发生器

目录 一、题目要求及分析 (1) 1.1题目要求 (1) 1.2题目分析 (1) 二、任意波形信号发生器方案设计 (3) 2.1系统设计框图与思路 (3) 2.2 系统设计原理图 (5) 2.3 相关芯片介绍 (6) 三、相关模块具体程序实现 (10) 四、仿真及实际结果与分析 (16) 4.1波形选择及仿真结果 (16) 4.2波形选择及实际结果 (18) 4.3结果分析与相关问题解决 (23) 五、总结与体会 (24) 参考文献 (25) 附录 (26)

一、题目要求及分析 1.1题目要求 任意波形信号发生器 利用FPGA器件产生控制信号及数据信号,经DAC0832和TL082转换产生以下波形: 1)正斜率斜波; 2)正弦波; 3)锯齿波; 4)任意波形。 用示波器观察输出波形。 硬件电路内容和要求:用DAC0832实现数模转换电路,用TLC082实现电流-电压转换电路,画出电路原理图。 软件设计内容和要求:VHDL编程实现任意波形的信号控制器。要求可以用开关切换不同的波形数据输出。 扩展:增加衰减控制信号,通过开关控制衰减倍数,并在数码管显示。 1.2题目分析 VHDL语言是随着集成电路系统化和高度集成化的发展而逐步发展起来的,是一种用于数字系统的设计和测试的硬件描述语言。相比传统的电路系统的设计方法,VHDL 具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。 在本次课程设计中,函数发生器的设计采用自顶向下的系统设计的方法,通过MAX+plusⅡ开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,采用模块化

DSP课程设计--多波形信号发生器

DSP课程设计--多波形信号发生器

DSP课程设计报告 ——多波形信号发生器

目录 一、实验目的 (3) 二、实验内容 (4) 三、实验原理 (4) 1.产生连续的波形的方法 (4) 1.1 查表法: (4) 1.2计算法: (5) 2. TLV320AIC23B的内部结构及工作原理6 四、程序设计 (8) 五、程序调试 (19) 1、编译过程 (19) 2、.cmd程序(5502.cmd)全文及其解释: (20) 3、程序运行结果(图形和数据显示):.. 25 六、硬件输出演示: (28) 七、实验感想与体会............. 错误!未定义书签。 八、参考文献 (30) 一、实验目的 1.学习并掌握D/A转换器的初始化设置及其应用

2.学习并掌握使用DSP产生正弦波的原理和算法,进而掌握任意信号波形(如三角波、锯齿波、矩形波等信号)产生的原理和算法。 3.比较产生信号的两种主要方法(查表法和计算法)的优缺点。 4.熟练使用软件CCS3.3对程序的完整调试过程。 二、实验内容 使用DSP产生300~16000Hz的正弦、方波、锯齿波和三角波信号,输出信号的幅度从0~1Vrms(有效值)。要求使用计算法,并且频率可变、幅度可变。 本实验要求用软件CCS3.3编程实现,并与硬件连接进行功能演示。 三、实验原理 1.产生连续的波形的方法 1.1 查表法: 把事先将需要输出的数据计算好,存储在DSP 中,然后依次输出就可以了。查表法的优点是速度快,可以产生频率较高的波形,而且不占用DSP

的计算时间;查表法的缺点在于需要占用DSP 的内部的存储空间,尤其对采样频率比较大的输出 波形,这样,需要占用的内部的空间将更大,而 DSP 内部的存储空间毕竟有所限制。这使得查表 法的应用场合十分有限。 1.2计算法: 采用计算的方法依次计算数据而后输出,然 后再计算而后输出。计算法的优缺点正好和查表 法相反。即:其优点是不占用DSP 的存储空间, 其缺点是占用DSP 的计算时间,使得执行程序的 开销变大。本实验将用第二种方法即计算法产生 一个正弦波信号,从DA 输出。由余弦信号的递推公式: 得知:如果需要产生连续的余弦信号,必须知道首先两个余弦值的大小,然后就可以利用上式计算出后面的数据,这就是下面编程依据的核心算 法。 正弦函数和余弦函数的泰勒级数数学表达式 为: =x sin +--+-+-+---)! 12()1(!9!7!5!31 219753n x x x x x x n n ,x ?),(∞-∞∈

信号发生器实验报告(波形发生器实验报告)

信号发生器 一、实验目的 1、掌握集成运算放大器的使用方法,加深对集成运算放大器工作原理的理解。 2、掌握用运算放大器构成波形发生器的设计方法。 3、掌握波形发生器电路调试和制作方法 。 二、设计任务 设计并制作一个波形发生电路,可以同时输出正弦、方波、三角波三路波形信号。 三、具体要求 (1)可以同时输出正弦、方波、三角波三路波形信号,波形人眼观察无失真。 (2)利用一个按钮,可以切换输出波形信号。。 (3)频率为1-2KHz 连续可调,波形幅度不作要求。 (4)可以自行设计并采用除集成运放外的其他设计方案 (5)正弦波发生器要求频率连续可调,方波输出要有限幅环节,积分电路要保证电路不出现积分饱和失真。 四、设计思路 基本功能:首先采用RC 桥式正弦波振荡器产生正弦波,然后通过整形电路(比较器)将正弦波变换成方波,通过幅值控制和功率放大电路后由积分电路将方波变成三角波,最后通过切换开关可以同时输出三种信号。 五、具体电路设计方案 Ⅰ、RC 桥式正弦波振荡器 图1 图2 电路的振荡频率为:RC f π21 0= 将电阻12k ,62k 及电容100n ,22n ,4.4n 分别代入得频率调节范围为:24.7Hz~127.6Hz ,116.7Hz~603.2Hz ,583.7Hz~3015Hz 。因为低档的最高频率高于高档的最低频率,所以符合实验中频率连续可调的要求。 如左图1所示,正弦波振荡器采用RC 桥式振荡器产生频率可调的正弦信号。J 1a 、J 1b 、J 2a 、J 2b 为频率粗调,通过J 1 J 2 切换三组电容,改变频率倍率。R P1采用双联线性电位器50k ,便于频率细调,可获得所需要的输出频率。R P2 采用200k 的电位器,调整R P2可改变电路A f 大小,使得电路满足自激振荡条件,另外也可改变正弦波失真度,同时使正弦波趋于稳定。下图2为起振波形。

多波形函数信号发生器

电子课程设计 设计题目:多波型信号发生器 系部:信息工程学院 专业:电子信息工程 班级:1301班 学号:8 姓名:高旭 指导老师:亮 目录 一设计要求·································

(3) 二总体概要设计 (3) 三各单元模块设计与分析 (4) 3.1 正弦波发生 器 (4) 3.1.1 RC桥式振荡 器 (4) 3.2 方波转化电 路 (6) 3.2.1 555定时 片 (6) 3.2.2 由555芯片构成的施密特触发 器 (7) 3.2.3 方波幅度调节电 路 (8)

3.3 三角波转化电路 (8) 3.3.1 RC无源积分器 (8) 3.3.2 自举电路反相放大器 (9) 四总电路图 (10) 五元器件清单 (10) 六总结与体会 (11) 七参考文献和辅助软件 (11)

一设计要求: 具体要求: 用中小规模集成芯片设计制作产生方波、三角波和正弦波等多种波形信号输出的波形发生器,具体要求如下: (1)输出波形工作频率围为0.02H Z~20KH Z,且连续可调; (2)正弦波幅值±10V,失真度小于1.5%; (3)方波幅值±10V; (4)三角波峰-峰值20V,各种输出波形幅值均连续可调。 二总体概要设计: 总体设计思路:函数发生器一般是指能自动产生正弦波,三角波,方波 及锯齿波,阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多 种波形的函数发生器,使用的器件可以是分立器件(如低频信号函数发生器 S101全部采用晶体管),也可以采用集成电路(如单片函数发生器模块8038)。为进一步掌握电路的基本理论及实验调试技术,本课题采用由集成运算放大器,555芯片共同构成正弦波—方波—三角波函数发生器的设计方法。产生正弦波,方波,三角波的方案有多种,如首先产生正弦波,然后通过整形电路将正 弦波变化为方波,再由积分电路将方波转化为三角波;也可以首先产生三角波—方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题就是采用第 一种方法:先产生正弦波再转化为方波最后变为三角波的电路设计方法。 本课题的正弦波发生电路用的是RC桥式振荡器,调节其中的R,C的值可实现率频的调节。由正弦波转化为方波的电路是用555芯片构成的施密特触发器,在触发器的输出端接有两极运放,第一极构成的是同相跟随器,主要作用 是提高输入阻抗,减少前极对后极的影响;第二极构成的是反相比例放大器, 用于放大方波的幅度并调节方波的幅度。由方波转化为三角波则用到的是RC无源滤波器,在输出端接有自举电路放相放大器,主要作用是提高输出阻抗以减 小前极对后级的影响,然后三角波经过反相放大器放大并实现幅度可调。最终 实现多波形信号发生器电路的设计。 三各单元模块的设计与分析 3.1 正弦波发生器

多波形信号发生器及滤波器222

多波形信号发生器(理论设计部分) 电子电路中,信号源是必备的,在电路中,所需要的信号由信号源提供。而现在使用最多的信号主要是正弦波,脉冲波,三角波,锯齿波等等,本讲介绍一种能同时产生脉冲波和三角波的电路的设计与实验。三角波的产生可以利用电容器的充放电过程(积分电路)来实现,因为三角波要求电位变化是线性的,即均匀变化,可以利用运算放大器产生一个对电容充放电电流恒定的电路,充电和放电过程可以由脉冲信号控制,而脉冲信号的形成也可以由运算放大器来完成,脉冲波可以由运算放大器构成比较器来产生。从以上讨论可知,电路利用的主要器件是运算放大器。 运算放大器的基本概念 1运算放大器是电子电路中最常用的电 子器件之一,利用运算放大器可以构成比 较器,电压跟随器(隔离电路),比例放 大器,运算电路,信号发生器,滤波器等 多种用途的电路。 2 运算放大器的电路符号如图所示,它有两个输入端{其中(+)叫做同相输入端,(-)叫做反相输入端},一个输出端。 3 运算放大器具有两个重要的特性,一是两个输入端的输入阻抗都很大,一般都在106Ω以上,二是开环放大倍数很大,一般都在105倍以上。 4 当运算放大器开环(在输出端和输入端不加反馈电路)使用时, 1 一般都会工作在饱和状态(原因是:两输入端加上电压信号,输出端的电压受到电源电压的限制其最大值高不能超过电源正电压,低不能低于电源负电压),当V+ > V- 时,输出高电平(接近电源正电压),当V+ < V- 时,输出低电平(接近电源负电压)。这就是一个比较器。 5 当运算放大器闭环{在输出端和反相输入端(-)加反馈电路}使用时,运算放大器的运用非常灵活,可以构成各种各样的电路,但无论是分析还是设计电路,只要掌握以下两点:一是因为输入阻抗很大使得输入电流很小而忽略认为两输入端的电流为0(虚断路,如LM358输入端电流45nA),一是因为开环放大倍数很大使得两输入端的电压很小而忽略认为两输入端的电压为0(虚短路,如LM358输出信号幅度1V,两输入端电压最大仅为10μV),由以运算放大器为核心器件构成的电路的分析与设计就变得非常简单与方便。 常用的通用运算放大器LM358和LM324简介 1 通用运算放大器LM358是一块双运放集成电路,内含二个完全一样的运算放大器,引脚8个,引脚编号1,2,3,4,5,6,7,8按如下方法确定:正面朝上,有缺口的一方朝左(或者有圆点的位置在左下),左下第一引脚为1, 然后按逆时针顺序依 次确定2,3,4,5,6, 7,8,即左上脚为8 号引脚。实物图如图所示。8脚接正 电源,4脚接负电源或地GND.引脚3,2,1三个脚组成A运放 2

相关主题
文本预览
相关文档 最新文档