当前位置:文档之家› 计算机组成原理课设报告

计算机组成原理课设报告

计算机组成原理课设报告
计算机组成原理课设报告

沈阳工程学院

计算机组成原理

课程设计

设计题目:基本模型机的设计与实现(第六组)

系别班级

学生姓名学号

指导教师职称

起止日期:2012年6月25日起——至2012年6月29日止

沈阳工程学院

课程设计任务书

课程设计题目:

系别班级

学生姓名学号

指导教师职称

课程设计进行地点:

任务下达时间: 2012年6月6日

起止日期:2012年6月25日起——至2012年6月29日止教研室主任 2012年6月4日批准

《计算机组成原理课程设计》是实践性教学环节之一,是《计算机组成原理》课程的辅助教学过程,是计算机科学与技术专业的必修课。通过课程设计,结合实际的操作和设计,巩固课堂教学内容,使学生掌握单处理器计算机系统中微程序控制体系结构的工作原理和技术、各部件相互连接方式,将理论与实际相结合,对有关计算机硬件系统中的实际问题进行分析、简单设计,并在此基础上强化学生的实践意识、提高其实际动手能力和创新能力,从而为后续课程的学习以及将来的实际工作打好基础。

二、课程设计的原始资料及依据

查阅有关计算机组成原理的教材、实验指导书等资料,进一步熟悉微程序控制器原理,微指令的设计方法。在掌握运算器、存储器、微程序控制器等部件的单元电路实验的基础上,进一步将各部件组成系统,构造一台基本模型计算机。为给定的机器指令编写相应的微程序,上机调试,掌握整机概念。

三、课程设计的主要内容及要求

1.认真阅读课程设计任务书和指导书,做好预习。掌握设计题目所要求的机器指令的操作功能。除4条必做指令外,每组另外设计1条机器指令。2条选做指令,供有能力的学生完成。全部机器指令如下:

要求 机器指令助记符

操作功能说明

必做 IN “DATA UNIT ”中的开关状态→R0

必做 ADD [addr] R0+[addr]→[addr] 必做 OR [addr] R0 OR [addr]->R0

必做 JMP addr addr →PC

第1组 NOT [addr],3 R0 3[addr]→+

第2组 INC [addr],2 [addr] + 2→[addr]

第3组 AO [addr],6 [addr] OR 6→R0

第4组 NRA [addr] ][addr [addr] AND R0→ 第5组 ROA [addr] ][addr [addr] OR R0→ 第6组 NOR [addr] R0 0 R [addr]→R O

第7组 DEC [addr] [addr]-1->R0

第8组 OAD [addr]

[addr]R0 [addr]) OR (R0→+

第9组 XOR [addr1] , [addr2]

[addr1] XOR [addr2]→R0

第10组 SUA [addr] R0-[addr]→ [addr] 选做 SEC [addr] R0-[addr]-1→R0 选做

OUT [addr]

[addr]→BUS

2.为所要设计的机器指令设计操作码和操作数,并安排其在RAM (6116芯片)中的地址,形成“机器指令表”。

3.分析并理解数据通路图。根据数据通路图画出给定的机器指令的微程序流程图,并为其中的每条微指令分配地址。

4.根据微指令格式编写每条微指令的二进制代码,形成“二进制微指令代码表”。 5.全部微程序设计完毕后,按照课程设计指导书中给出的电路接线图连接线路。 6.按照“实验四微程序控制实验”使用过的方法,将微程序中各个微指令正确地写入E 2PROM 芯片2816中,校验正确后进行下一步。

7.执行控制台操作微指令,进行机器指令程序的写入和检查。 8.调试运行程序,检查结果是否和理论值一致。

9.记录出现故障的现象,并对故障进行分析,找出排除故障的方法。

10.独立思考,认真设计,完成题目要求的机器指令的操作功能,微程序运行稳定。。

11.遵守课程设计时间安排。认真书写课程设计说明书,排版样式规范,避免相互抄袭。

四、对课程设计说明书撰写内容、格式、字数的要求

1.课程设计说明书是体现和总结课程设计成果的载体,主要内容包括:设计题目、设计目的、设备器材、设计原理、设计内容、设计步骤、实现方法及关键技术、遇到的问题及解决方法、设计总结等。一般不应少于3000字。

2.在适当位置配合相应的实验原理图、数据通路图、微程序流程图、电路接线图、微指令代码表等图表进行说明。应做到文理通顺,内容正确完整,书写工整,装订整齐。

3.设计总结部分主要写本人设计期间所做工作简介、得到了哪些设计成果、以及自己的设计体会,包括通过课程设计有何收获,程序有哪些不足之处,哪里遇到了困难,解决的办法,以及今后的目标。

4.课程设计说明书手写或打印均可,具体要求如下:

?手写时要用统一的课程设计用纸格式,用黑或蓝黑墨水工整书写;

?打印时采用A4纸,页边距均为20mm,目录、各章标题(如: 2 设计原理及内容)和设计总结等部分的标题用小三号黑体,上下各空1行,居中书写;一级节标题(如:

2.1 设计原理)采用黑体四号字,二级节标题(如: 2.1.1数据通路)采用黑体小四号字,

左对齐书写。

?正文采用宋体小四号字,行间距18磅,每个自然段首行缩进2个字。

?图和表的要有编号和标题,如:图2.1数据通路图;表1.1机器指令表。图题与表题采用宋体五号字。表格内和插图中的文字一般用宋体五号字,在保证清楚的前提

下也可用更小号的字体。

?英文字体和数字采用Time New Roman字体,与中文混排的英文字号应与周围的汉字大小一致。

?页码用五号字,在每页底端居中放置。

5.课程设计说明书装订顺序为:封面、任务书、成绩评定表、设计小组任务分配及自评、目录、正文、参考文献、设计总结。在左侧用订书钉装订,不要使用塑料夹。

6.设计小组任务分配及自评处注明设计组编号、设计组组长、设计组成员,并由设计组组长给出评语。包括该同学主要完成了哪些任务,课程设计期间的表现和态度如何,组长自己的评语由小组其他成员集体讨论后写出。

五、时间进度安排

顺序阶段日期计划完成内容备注

1 第1天(6月25日)阅读资料、系统分析设计

2 第2天(6月26日)系统分析设计、微程序编制

3 第3-4天(6月27-28日)微程序输入、调试及运行

4 第5天(6月29日)基本模型机运行验收、答辩

5 第6-7天(6月30-7月1日)撰写课程设计说明书

六、主要参考资料(文献)

[1]王健、王德君.计算机组成原理实验指导书.沈阳工程学院,2009

[2]白中英.计算机组成原理(第4版).北京:科学出版社,2008

[3]蒋本珊.计算机组成原理.北京:清华大学出版社,2005

[4]唐朔飞.计算机组成原理(第2版).北京:高等教育出版社,2009

沈阳工程学院

计算机组成原理课程设计成绩评定表

系(部):班级:学生姓名:

指导教师评审意见

评价内容具体要求权重评分加权分工作态度工作态度认真,遵守纪律,出勤情况良好。0.1 5 4 3 2

工作能力认真阅读课程设计指导书及其他参考书籍,理

解设计原理;能设计机器指令,独立绘制指令

周期流程图,为微指令编码;线路连接正确,

遇到问题能够深入分析并解决。

0.2 5 4 3 2

工作量按期圆满完成规定的设计任务,工作量饱满,

难度适宜。

0.2 5 4 3 2

说明书的质量

说明书立论正确,论述充分,结论严谨合理,

文字通顺,技术用语准确,符号统一,编号齐

全,图表完备,书写工整规范。

0.5 5 4 3 2 指导教师评审成绩

(加权分合计乘以8)

分加权分合计

指导教师签名:年月日

评阅教师评审意见

评价内容具体要求权重评分加权分查阅资料查阅资料较广泛,有综合归纳资料的能力。0.2 5 4 3 2

工作量工作量饱满,难度适中。0.5 5 4 3 2

说明书的质量

说明书立论正确,论述充分,结论严谨合理,

文字通顺,技术用语准确,符号统一,编号齐

全,图表完备,书写工整规范。

0.3 5 4 3 2 评阅教师评审成绩

(加权分合计乘以4)

分加权分合计

评阅教师签名:年月日

答辩小组评审意见

评价内容具体要求权重评分加权分

学生汇报操作演示汇报准备充分,语言表达准确,概念清楚,描

述正确;操作熟练、微程序运行正常;基本上

反映了所完成任务的全部内容。

0.5 5 4 3 2

答辩

思路清晰;回答问题有理论依据,基本概念清

楚;主要问题回答正确并迅速,有说服力。

0.5 5 4 3 2 答辩小组评审成绩

(加权分合计乘以8)

分加权分合计

答辩小组教师签名:年月日课程设计总评成绩分

计算机组成原理课程设计

信安本101班第(六)设计小组任务分配及自评

全体成员任务学号:

姓名:

学号:

姓名:

学号:

姓名:

分配微地址√√√控制台操作编码√

实验电路连接√√IN指令√

ADD指令√

OR指令√JMP指令√新指令【NOR 】√

SEC指令(选做)

OUT指令(选做)√

总体调试、运行√√√解决关键技术问题√

相关文档收集、书写、

整理

√√工作量排序36% 32% 32%

设计小组自评

吕齐:能够积极带动组员积极性,加强合作。带领本组提前、成功的完成了对所有任务设计与实现,细心为组员讲解、探讨并将选做内容也一并全部实现

伍雪强:主要负责IN指令及ADD指令。在编写指令时积极负责,遇到不懂的问题时主动向其他组员请教,态度积极,并积极与组长进行微指令检查的工作。

李爱飞:负责OR及JMP的设计及操作,并完成实验线路的连接,设计中积极主动,认真学习,态度积极。认真完成了自己的负责的内容并积极配合组长,共同探讨遇到的各种难点。

目录

第一章设计概述 (1)

1.1设计题目 (1)

1.2设计目的 (1)

1.3设备器材 (1)

第二章设计原理及内容 (2)

2.1设计基本原理 (2)

2.2需执行的机器指令 (2)

2.3数据通路图 (3)

2.4微指令格式 (4)

2.5微程序地址的转移 (4)

2.6机器指令的写入、读出和执行 (5)

第三章设计步骤 (7)

3.1编写机器指令 (7)

3.2绘制微程序流程图 (7)

3.3绘制微指令 (8)

3.4连接实验线路 (8)

3.5写指令 (9)

3.5.1 写微指令 (9)

3.5.2 写机器指令 (9)

3.6运行程序 (10)

3.6.1单步运行程序 (10)

3.6.2连续运行程序 (10)

第四章遇到的问题及解决方法 (11)

设计总结 (12)

第一章设计概述

1.1设计题目

认真阅读资料,掌握给定的机器指令的操作功能。分析并理解数据通路图。根据数据通路图画出给定的机器指令的微程序流程图。根据微指令格式编写每条机器指令对应的微程序,形成“二进制微指令代码表”。全部微程序设计完毕后,将微程序中各个微指令正确地写入E2PROM芯片2816中。进行机器指令程序的装入和检查。运行程序,检查结果是否和理论值一致。独立做出IN、ADD、OR、JMP、NOR和OUT指令。记录出现故障的现象,并对故障进行分析,说明排除故障的思路及故障性质。

1.2设计目的

1.掌握机器指令与微程序的对应关系。

2.掌握机器指令的执行流程。

3.掌握机器指令的微程序的编制、写入。

4.在掌握部件单元电路实验的基础上,进一步将组成系统,构成一台基本模型计算机。

5.为其定义五条机器指令,并编写相应的微程序,上机调试,掌握整机概念。

1.3设备器材

TDN-CM计算机组成原理教学实验系统一台,排线若干。

第二章设计原理及内容

2.1设计基本原理

部件实验过程中,各部件单元的控制信号是人为模拟产生的,如运算器实验中对74LS-181芯片的控制,存储器实验中对存储器芯片的控制信号,以及几个实验中对输入设备的控制。而本次实验将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一段微程序。

本系统使用两种外部设备,一种是二进制代码开关(DATA UNIT),它作为输入设备;另一种是发光二极管(BUS UNIT上的一组发光二极管),它作为输出设备。例如:输入时,二进制开关数据直接经过三态门送到总线上,只要开关状态不变,输入的信息也不变。输出时,将输出数据送到数据总线BUS上,驱动发光二极管显示。

2.2需执行的机器指令

本次设计采用五条机器指令;IN(输入)、ADD(加)、OR(或)、OUT(输出)、NOT(加3取反)、JMP(无条件转移),其指令格式如表2-1所示。

表2-1 机器指令格式表

助记符机器指令码说明

IN 0000 0000“DATA UNIT”中的开关状态→R0 ADD [addr] 0001 0000 XXXXXXXX R0+[addr]→[addr]

OR [addr] 0010 0000 XXXXXXXX R0 OR [addr]->R0

NOR [addr] 0011 0000 XXXXXXXX R0

R

O

R

[addr] OUT [addr] 0100 0000 XXXXXXXX[addr]→BUS

JMP addr 0101 0000 XXXXXXXX addr→PC

其中机器指令码的最高8位为操作码。IN为单字长(8位),其余为双字长指令,XXXXXXXX为addr对应的二进制地址码。

2.3数据通路图

实验系统的数据通路图,如图2.1所示。

图2.1 数据通路图

注意:

⒈片选信号CE=0为有效电平,CE=1为无效电平。

⒉WE=1为写入,WE=0为读出。

⒊LOAD和LDPC同时为“1”时,可将总线上的数据装入到PC中;LDPC为“1”,同时LOAD为“0”时,将PC中内容加1。

⒋M=0为算术运算,M=1为逻辑运算。

⒌CN=0表示运算开始时低位有进位,否则低位无进位。

图2.1中包括运算器、存储器、微控器、输入设备、输出设备以及寄存器。这些部件的动作控制信号都有微控器根据微指令产生。需要特别说明的是由机器指令构成的程序存放在存储器中,而每条机器指令对应的微程序存储在微控器中的存储器中。

2.4微指令格式

微指令字长共24位,其控制位顺序如图2.2所示。

图2.2 微指令格式图

其中UA5~UA0为下一条微指令微地址,A、B、C为三个译码字段,分别由三个控制位译码出多种不同控制信号。

A字段中的LDRi为打入工作寄存器信号的译码器使能控制位。

B字段中的RS-B、RD-B、RI-B分别为源寄存器选通信号、目的寄存器选通信号及变址寄存器选通信号,其功能是根据机器指令来进行三个工作寄存器R0、R1及R2的选通译码。

C字段中的P(1)~P(4)是四个测试字位。其功能是根据机器指令及相应微代码进行译码,使微程序转入相应的微地址入口,从而实现微程序的顺序、分支、循环运行,其原理如图2.3所示。AR为算术运算是否影响进位及判零标志控制位,其为零有效。

注意:根据后面的实验接线图,A字段的LDRi与数据通路图中的LDR0为同一个信号。B字段的RS-B与数据通路图中的R0-B为同一个信号。

2.5微程序地址的转移

本实验系统的指令寄存器(IR)用来保存当前正在执行的一条指令。当执行一条指令时,先把该指令从内存取到缓冲寄存器中,然后再传送至指令寄存器。指令划分为操作码和地址码字段,由二进制数构成,为了执行任何给定的指令,必须对操作码进行测试[P(1)],通过节拍脉冲T4的控制以便识别所要求的操作。“指令译码器”(实验板上标有“INS DECODE”的芯片)根据指令中的操作码译码后的结果,将微控器单元的微地址修改为下一条微指令的地

址。

地址修改要依靠实验系统的微程序地址转移电路来完成,该电路如图2.3所示。

图2.3 微程序地址转移电路

注意:

⒈FC:进位标志

⒉FZ:0标志

⒊SWA、SWB存储器读写控制标志

⒋P(1)~P(4):微指令C字段译码输出结果

⒌I2~I7:机器指令第2位~第7位。

2.6机器指令的写入、读出和执行

为了向RAM中装入机器指令程序和数据,检查写入是否正确,并能启动机器指令程序执行,还必须设计三个控制台操作微程序。

存储器读操作(KRD):拨动总清开关CLR(使CLR从1→0→1)后,控制台开关SWB、SWA置为“0 0”时,按START微动开关,可对RAM连续手动读操作。

存储器写操作(KWE):拨动总清开关CLR后,控制台开关SWB、SWA置为“0 1”时,按START微动开关可对RAM进行连续手动写入。

启动程序:拨动总清开关CLR后,控制台开关SWB、SWA置为“1 1”时,按START 微动开关,即可转入到第25号“取指”微指令,启动程序运行。

上述三条控制台指令用两个开关SWB、SWA的状态来设置,其定义如表2-3所示。

表2-3 控制台指令

SWB SWA 控制台指令

0 0 读内存(KRD)

0 1 写内存(KWE)

l l 启动程序(RP)

三个控制台操作微程序的流程如图2.4所示。

图2.4 控制台操作微程序流程图

控制台操作为P(4)测试,它以控制台开关SWB、SWA作为测试条件,出现了3路分支,占用3个固定微地址单元。当分支微地址单元固定后,余下的微指令可以存放在控制存储器的其他任意单元中。

当设计“取指”微指令时,该微指令的判别测试字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前6位(IR7—IR2)作为测试条件,出5路分支,占用5个固定微地址单元。

第三章设计步骤

3.1编写机器指令

设计各条机器指令代码及数据,并为指令和数据分配存储地址。本次设计机器指令程序如表3-1所示。

表3-1 本次设计机器指令程序

地址内容助记符说明

0100 0000 0000 0000 IN “DA TA UNIT”中的开关状态→R0

0100 0001 0001 0000

ADD[4BH] R0+[4BH]→[4BH] 0100 0010 0100 1011

0100 0011 0010 0000

OR[4CH] R0 or [4CH]→R0 0100 0100 0100 1100

0100 0101 0011 0000

NOR[4DH]

0100 0110 0100 1101

R0 or [4DH] →R0

0100 0111 0100 0000

OUT [4DH]→BUS

0100 1000 0100 1101

0100 1001 0101 0000

JMP[40H] [40H]→PC

0100 1010 0100 0000

0100 1011 0011 0001 内容自定义

0100 1100 0011 0010 内容自定义

0100 1101 0011 0011 内容自定义

3.2绘制微程序流程图

根据每条机器指令的功能,为每条机器指令画出微程序流程图,并为其中的每条微指令分配地址。总体微程序流程图如图3.1所示。

图3.1 总体微程序流程图

3.3绘制微指令

根据控制位顺序图,可绘制下列微指令表3-2。

表3-2 微指令表

地址S3~S0 M Cn WE CE LDPC A B C uA5~uA0

KRD 000000 0000 0 0 0 1 1 101 110 100 010000 010000 0000 0 0 0 1 1 110 111 000 010010 010010 0000 0 0 0 0 0 000 000 000 010000

KWE 010001 0000 0 0 0 1 1 110 111 000 010100 010100 0000 0 0 1 0 0 000 110 000 010001

RP 010011 0000 0 0 0 1 1 110 111 000 010101 010101 0000 0 0 0 0 0 100 000 001 011000 000101 1001 0 1 1 0 0 000 101 000 010011

NOR 011011 0000 0 0 0 1 1 110 111 000 100001 100001 0000 0 0 0 0 0 110 000 000 100010 100010 0000 0 0 0 0 0 011 000 000 100011 100011 0000 0 0 0 0 0 010 000 000 100100 100100 0001 1 0 0 1 0 001 101 000 010011

OUT 011100 0000 0 0 0 1 1 110 111 000 101001 101001 0000 0 0 0 0 0 110 000 000 101010 101010 0000 0 0 0 0 0 000 000 000

010011

3.4连接实验线路

按图3.2连接实验线路,仔细查线路无误后接通电源。

图3.2 实验接线图

3.5写指令

3.5.1 写微指令

⑴将编程开关置为PROM(编程)状态。

⑵将实验板上“STATE UNIT”中的“STEP”置为“STEP”,“STOP”置为“RUN”状态。

⑶用二进制模拟开关UA0~UA5置微地址MA0~MA5。

⑷在MK23~MK0开关上置微指令代码,24位开关对应24位显示灯,开关置为“0”时灯亮,开关置为“l”时灯灭。

⑸启动时序电路(按动启动按钮“START”),即将微代码写入到E2PROM 2816的相应地址对应的单元中。

⑹重复⑶~⑸步骤,将表3-2中的微代码写入E2PROM 2816。

写完微指令后须进行校验。将编程开关设置为READ(校验)状态。将实验板的“STEP”开关置为“STEP”状态。“STOP”开关置为“RUN”状态。用二进制模拟开关UA0~UA5置好微地址MA0~MA5。按动“START”键,启动时序电路,读出微代码。观察显示灯MD23~MD0的状态(灯亮为“0”,灭为“1”),检查读出的微代码是否与写入的相同。如果不同,则将开关置于PROM编程状态,重新写入微指令即可。

3.5.2 写机器指令

使用图2.4所示的控制台KWE和KRD微程序进行机器指令程序的装入和检查。

⑴使编程开关处于“RUN”,STEP为“STEP”状态,STOP为“RUN”状态。

⑵拨动总清开关CLR(1→0→1),微地址寄存器清零。此时用“DATA UNIT”单元的8位二进制开关给出要写入RAM区的首地址,控制台SWB、SWA开关置为“0 1”,按动一次启动开关START,微地址显示灯显示“010001”,再按动一次START,微地址灯显示“010100”,此时数据开关的内容置为要写入的机器指令,按动一次START键,即完成该条指令的写入。

若仔细阅读KWE的流程,就不难发现,机器指令的首地址只要第一次给入即可,PC会自动加1,所以,每次按动START,只有在微地址灯显示“010100'’时,才设置内容,直到所有机器指令写完。

写完机器指令后须进行校验。拨动总清开关CLR(1→0→1)后,微地址清零。此时用“DATA UNIT'’单元的8位二进制开关置要读的RAM区的首地址,控制台开关SWB、SWA为“0 0”,按动启动START,微地址灯将显示“010000",再按START,微地址灯显示为“010010",第三次按START,微地址灯显示为“010000”,此时总线单元的显示灯显示为该首地址的内容。不断按动START,可检查后续单元内容,注意:每次仅在微地址灯显示为“010000”时,显示灯的内容才是相应地址中的机器指令内容。

3.6运行程序

3.6.1单步运行程序

⑴使编程开关处于“RUN”状态,STEP为“STEP”状态,STOP为“RUN”状态。

⑵拨动总清开关CLR(1→0→1),微地址清零。

⑶将“DATA UNIT”的8位数据开关(D7~D0)设置为机器指令首地址。

⑷按动START启动键,单步运行一条微指令,每按动一次START键,即单步运行一条微指令。对照微程序流程图,观察微地址显示灯是否和流程一致。

⑸当运行结束后,可检查存数单元中的结果是否和理论值一致。

3.6.2连续运行程序

⑴使“STATE UNIT”中的STEP开关置为“EXEC”状态。STOP开关置为“RUN”状态。

⑵将“DATA UNIT”的8位二进制开关设置为机器指令程序首地址,然后按动START,系统连续运行程序,稍后将STOP拨至“STOP”时,系统停机。

⑶停机后,可检查存数单元结果是否正确。

沈阳工程学院课程设计报告第四章遇到的问题及解决方法

第四章遇到的问题及解决方法

在此次计算机组成原理课程设计中我们小组遇到了不少问题。

在设计机器指令代码时,由于对每条指令的操作数部分理解的不是很全面和到位,导致一些诸如求或操作的结果无法正确保存进纸面上指定的地址。在经过对机器指令代码仔细的研究后,知道了程序运行的过程,即先取出操作码进行判断后,再取出操作数进行实际的运算。从而解决了以上出现的问题。

在绘制微指令流程图时,由于在经过P(1)的译码后,要对以后每一条功能指令进行地址的转换,这就需要在译码前选定一个好的后续转换地址。但由于前期没有经验,只是随机的选出几个数来作为后续地址,导致经过转换过来的地址始终有重复。后来经过仔细分析,最终选定40H作为后续地址。

在连接实验线路的步骤时,由于线路板复杂和连线杂乱的原因,致使有几条线的正反顺序接错。导致在程序运行的阶段数据总线显示灯不能正常的显示。在反复细心的检查后查出了问题,从而排除了问题。

在微指令输入时,由于指令的重复与烦琐,致使在输入过程中有几个数位输入错误,导致程序只能运行一半,无法完整运行。在浪费了很长时间重新输入所有的微指令后,才解决掉这个问题。

沈阳工程学院课程设计报告设计总结

设计总结

为期一周的计算机组成原理课程设计很快就结束了。在这一个星期的时间里,我们经过不懈的努力,完成了所选课程设计的内容。能够实现任务书上的基本要求,在微程序编写和调试的过程中,我们将计算机组成原理的知识又重新的温习了一次,使我们的计算机组成原理知识又稳固了一次。

我们小组不但要完成给定任务的编写与调试,还选择了NOT指令的编写与调试。并且更是把其余的要求有能力完成的指令也成功编写调试。在整个课设过程中,我们团结一致,为该微指令的设计工作打下了良好的基础。尽管设计中的困难是不可必免的,但在解决困难的过程中,我们也学会了独立思考,增强了团队意识,同时也加深了对控制台操作的理解,这为我们今后的学习与工作,提供了良好的实习机会,进一步增强了我们的实践能力,提高了我们对本专业知识的运用能力,这也是该课程开设目地所在。课程设计是对我们专业知识掌握程度的检查,是否游刃有余的掌握所学的专业知识,能够在课程设计的过程中完全的展现出来。同时也是对我们的合作精神有个考验,让我们学会了如何利用自己所擅长的方面与别人配合,团体之间相互补偿。

这次课程设计让我们学到了许多的知识,其中有许多是在课本上学不到的。增加了对微指令与机器指令设计的经验,也提高了自己的动手能力。对将来在社会上进行一系列的活动和工作有着非常大的帮助。经过这次课程设计,我也知道了在今后社会上的活动和工作方式。所以这次课程设计是一次难得的锻炼机会。

实践出真知,课程设计要亲手做过,才能明白其中的各种滋味,无论成功或失败,每一次尝试都是一种收获。此计算机组成原理课程设计是我们实践指令编写与调试的又一新的起点,我们坚信在老师的指导之下,通过我们的努力,我们定会在计算机组成原理之路走得更高、更远。

计算机组成原理

计算机组成原理大型实验 报告 (2010/2011第2学期------第19周) 指导教师: 班级: 姓名: 学号: 计算机组成原理课程设计实验报告 一、目的和要求 目的: 深入了解计算机各种指令的执行过程,以及控制器的组成,指令系统微程序设计的具体知识,进一步理解和掌握动态微程序设计的概念;完成微程序控制的特定功能计算机的指令系统设计和调试。 要求: (1)、内容自行设计相关指令微程序;(务必利用非上机时间设计好微程序) (2)、测试程序、实验数据并上机调试; (3)、报告内容: 包括 1、设计目的 2、设计内容 3、微程序设计(含指令格式、功能、设计及微程序) 4、实验数据(测试所设计指令的程序及结果)。(具体要求安最新规范为准) 二、实验环境 TEC—2机与PC机。 三、具体内容 实验内容: (1)把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] (2)将一通用寄存器内容减去某内存单元内容,结果放在另一寄存器中。 指令格式:E0DRSR,ADDR(SR,DR源、目的寄存器各4位)双字指令(控存 入口130H) 功能:DR=SR-[ADDR]

(3)转移指令。判断两个通用寄存器内容是否相等,若相等则转移到指定绝对地址,否则顺序执行。 指令格式:E5DRSR,ADDR双字指令(控存入口140H) 功能:ifDR==SRgotoADDRelse顺序执行。 设计:利用指令的CND字段,即IR10~8,令IR10~8=101,即CC=Z 则当DR==SR时Z=1,微程序不跳转,接着执行MEMPC(即ADDRPC),而当DR!=SR 时Z=0,微程序跳转至A4。 实验设计并分析: 第一条:把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] 指令格式: D4XX ADDR1 ADDR2 ADDR3 微程序: PC→AR,PC+1→PC:00000E00A0B55402 MEM→AR:00000E00 10F00002 MEM→Q:00000E00 00F00000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E00 10F0 0002 MEM+Q→Q:00000E01 00E0 0000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E0010F0 0002 Q→MEM,CC#=0:00290300 10200010 指令分析: PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM->Q 0000 0000 1110 0000 0000 0000 0000 1111 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM+Q->Q 0000 0000 1110 0000 0001 0000 0000 1110 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100

计算机组成原理实验报告

福建农林大学计算机与信息学院信息工程类实验报告系:计算机科学与技术专业:计算机科学与技术年级: 09级 姓名:张文绮学号: 091150022 实验课程:计算机组成原理 实验室号:___田405 实验设备号: 43 实验时间:2010.12.19 指导教师签字:成绩: 实验一算术逻辑运算实验 1.实验目的和要求 1. 熟悉简单运算器的数据传送通路; 2. 验证4位运算功能发生器功能(74LS181)的组合功能。 2.实验原理 实验中所用到的运算器数据通路如图1-1所示。其中运算器由两片74181

以并/串形式构成8位字长的ALU。运算器的输出经过一个三态门(74245)和数据总线相连,运算器的两个数据输入端分别由两个锁存器(74373)锁存,锁存器的输入连接至数据总线,数据开关INPUT DEVICE用来给出参与运算的数据,并经过一个三态门(74245)和数据总线相连,数据显示灯“BUS UNIT”已和数据总线相连,用来显示数据总线内容。 图1-2中已将用户需要连接的控制信号用圆圈标明(其他实验相同,不再说明),其中除T4为脉冲信号,其它均为电平信号。由于实验电路中的时序信号均已连至W/R UNIT的相应时序信号引出端,因此,在进行实验时,只需将W/R UNIT 的T4接至STATE UNIT的微动开关KK2的输出端,按动微动开关,即可获得实验所需的单脉冲,而S3,S2,S1,S0,Cn,LDDR1,LDDR2,ALU-B,SW-B各电平控制信号用SWITCH UNIT中的二进制数据开关来模拟,其中Cn,ALU-B,SW-B为低电平控制有效,LDDR1,LDDR2为高电平有效。 3.主要仪器设备(实验用的软硬件环境) ZYE1603B计算机组成原理教学实验系统一台,排线若干。 4.操作方法与实验步骤

计算机组成原理报告

武汉华夏理工学院 课程设计课程名称计算机组成原理 题目模型机设计与实现 专业计算机科学与技术 班级计算机1165 姓名 成绩 指导教师田夏利 2018 年 1 月 8日 课程设计任务书

设计题目:模型机设计与实现 设计目的: 利用基本模型机的构建与调试实验,完整地建立计算机硬件的整机模型,掌握CPU的基本结构和控制流程,掌握指令执行的基本过程。 设计任务(在规定的时间内完成下列任务) 1.掌握CISC微控制器功能与微指令格式 2.设计五条机器指令,并编写对应的微程序 3.在TDN-CMA教学实验系统中调试机器指令程序,确认运行结果 时间安排(集中时间) 1.第19周周一(1-4):全体集中讲解课程设计原理与方法 2.第19周周一~周四(1-4):分班调试,撰写设计报告 3.第19周周五:验收及答辩。 具体要求 1.周一:熟悉任务,掌握设备 2.周一:完成模型机的实验线路连接 3.周二:调试模型机,记录实验结果 4.周三:拟定课程设计报告大纲 5.周四、五:撰写并打印课程设计报告 目录 1.课程设计....................................... 错误!未定义书签。

课程设计题目...............................................错误!未定义书签。课程设计目的...............................................错误!未定义书签。实验设备...................................................错误!未定义书签。2概要设计....................................... 错误!未定义书签。原理.......................................................错误!未定义书签。数据通路框图...............................................错误!未定义书签。微指令格式.................................................错误!未定义书签。微程序流程图...............................................错误!未定义书签。微指令二进制代码表.........................................错误!未定义书签。实验步骤........................................ 错误!未定义书签。 实验接线图.............................................错误!未定义书签。 操作步骤...............................................错误!未定义书签。3实验过程....................................... 错误!未定义书签。输入数据...................................................错误!未定义书签。结果.......................................................错误!未定义书签。4设计总结....................................... 错误!未定义书签。设计体会...................................................错误!未定义书签。 1.课程设计 课程设计题目 基本模型机设计与实现

计算机组成原理知识点总结——详细版

计算机组成原理2009年12月期末考试复习大纲 第一章 1.计算机软件的分类。 P11 计算机软件一般分为两大类:一类叫系统程序,一类叫应用程序。 2.源程序转换到目标程序的方法。 P12 源程序是用算法语言编写的程序。 目标程序(目的程序)是用机器语言书写的程序。 源程序转换到目标程序的方法一种是通过编译程序把源程序翻译成目的程序,另一种是通过解释程序解释执行。 3.怎样理解软件和硬件的逻辑等价性。 P14 因为任何操作可以有软件来实现,也可以由硬件来实现;任何指令的执行可以由硬件完成,也可以由软件来完成。对于某一机器功能采用硬件方案还是软件方案,取决于器件价格,速度,可靠性,存储容量等因素。因此,软件和硬件之间具有逻辑等价性。 第二章 1.定点数和浮点数的表示方法。 P16 定点数通常为纯小数或纯整数。 X=XnXn-1…..X1X0 Xn为符号位,0表示正数,1表示负数。其余位数代表它的量值。 纯小数表示范围0≤|X|≤1-2-n 纯整数表示范围0≤|X|≤2n -1

浮点数:一个十进制浮点数N=10E.M。一个任意进制浮点数N=R E.M 其中M称为浮点数的尾数,是一个纯小数。E称为浮点数的指数,是一个整数。 比例因子的基数R=2对二进制计数的机器是一个常数。 做题时请注意题目的要求是否是采用IEEE754标准来表示的浮点数。 32位浮点数S(31)E(30-23)M(22-0) 64位浮点数S(63)E(62-52)M(51-0) S是浮点数的符号位0正1负。E是阶码,采用移码方法来表示正负指数。 M为尾数。P18 P18

2.数据的原码、反码和补码之间的转换。数据零的三种机器码的表示方法。 P21 一个正整数,当用原码、反码、补码表示时,符号位都固定为0,用二进制表示的数位值都相同,既三种表示方法完全一样。 一个负整数,当用原码、反码、补码表示时,符号位都固定为1,用二进制表示的数位值都不相同,表示方法。 1.原码符号位为1不变,整数的每一位二进制数位求反得到反码; 2.反码符号位为1不变,反码数值位最低位加1,得到补码。 例:x= (+122)10=(+1111010)2原码、反码、补码均为01111010 Y=(-122)10=(-1111010)2原码11111010、反码10000101、补码10000110 +0 原码00000000、反码00000000、补码00000000 -0 原码10000000、反码11111111、补码10000000 3.定点数和浮点数的加、减法运算:公式的运用、溢出的判断。 P63 已知x和y,用变形补码计算x+y,同时指出结果是否溢出。 (1)x=11011 y=00011 (2)x=11011 y=-10101 (3)x=-10110 y=-00001

计算机组成原理实验报告

重庆理工大学 《计算机组成原理》 实验报告 学号 __11503080109____ 姓名 __张致远_________ 专业 __软件工程_______ 学院 _计算机科学与工程 二0一六年四月二十三实验一基本运算器实验报告

一、实验名称 基本运算器实验 二、完成学生:张致远班级115030801 学号11503080109 三、实验目的 1.了解运算器的组成结构。 2.掌握运算器的工作原理。 四、实验原理: 两片74LS181 芯片以并/串形式构成的8位字长的运算器。右方为低4位运算芯片,左方为高4位运算芯片。低位芯片的进位输出端Cn+4与高位芯片的进位输入端Cn相连,使低4位运算产生的进位送进高4位。低位芯片的进位输入端Cn可与外来进位相连,高位芯片的进位输出到外部。 两个芯片的控制端S0~S3 和M 各自相连,其控制电平按表2.6-1。为进行双操作数运算,运算器的两个数据输入端分别由两个数据暂存器DR1、DR2(用锁存器74LS273 实现)来锁存数据。要将内总线上的数据锁存到DR1 或DR2 中,则锁存器74LS273 的控制端LDDR1 或LDDR2 须为高电平。当T4 脉冲来到的时候,总线上的数据就被锁存进DR1 或DR2 中了。 为控制运算器向内总线上输出运算结果,在其输出端连接了一个三态门(用74LS245 实现)。若要将运算结果输出到总线上,则要将三态门74LS245 的控制端ALU-B 置低电平。否则输出高阻态。数据输入单元(实验板上印有INPUT DEVICE)用以给出参与运算的数据。其中,输入开关经过一个三态门(74LS245)和内总线相连,该三态门的控制信号为SW-B,取低电平时,开关上的数据则通过三态门而送入内总线中。 总线数据显示灯(在BUS UNIT 单元中)已与内总线相连,用来显示内总线上的数据。控制信号中除T4 为脉冲信号,其它均为电平信号。 由于实验电路中的时序信号均已连至“W/R UNIT”单元中的相应时序信号引出端,因此,需要将“W/R UNIT”单元中的T4 接至“STATE UNIT”单元中的微动开关KK2 的输出端。在进行实验时,按动微动开关,即可获得实验所需的单脉冲。 S3、S2、 S1、S0 、Cn、M、LDDR1、LDDR2、ALU-B、SW-B 各电平控制信号则使用“SWITCHUNIT”单元中的二进制数据开关来模拟,其中Cn、ALU-B、SW-B 为低电平有效,LDDR1、LDDR2 为高电平有效。 对于单总线数据通路,作实验时就要分时控制总线,即当向DR1、DR2 工作暂存器打入数据时,数据开关三态门打开,这时应保证运算器输出三态门关闭;同样,当运算器输出结果至总线时也应保证数据输入三态门是在关闭状态。 运算结果表

计算机组成原理课程设计报告

计算机组成原理课程设计报告 课程设计题目:计算机组成原理 专业名称:计算机科学与技术班级: 2013240202 关童:201324020217 张一轮:201324020218 孙吉阳:201324020219 张旭:201324020220 老师姓名:单博炜 2015年12月31日

第一章课程设计概述 1.1 课程设计的教学目的 本课程设计的教学目的是在掌握计算机系统组成及内部工作机制、理解计算机各功能部件工作原理的基础上,深入掌握数据信息流和控制信息流的流动过程,进一步加深计算机系统各模块间相互关系的认识 无条件转移),其指令格式如表1(前4位是操作码): 表1: IN为单字长(8位),含义是将数据开关8位数据输入到R0寄存器;ADD为双字长指令,第一字为操作码,第二字为操作数地址,其含义是将R0寄存器的内容与内存中以A为地址单元的数相加,结果放在

R0;STA为双字长指令,含义是将R0中的内容存储到以第二字A为地址内存单元中;OUT为双字长指令,含义是将内存中以第二字为地址的数据读到数据总线上,由数码管进行显示;JMP是双字长指令,执行该指令时,程序无条件转移到第二字所指定的内存单元地址。 为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,还设计了三个控制台操作微程序:存储器读操作”(KRD):拨动总清开关CLR后,当控制台开关SWB、SWA置为“00”时,按START 微动开关,可对RAM进行连续手动读操作;存储器写操作(KWE):拨动总清开关CLR后,当控制台开关SWB、SWA置为“01”时,按START微动开关,可对RAM进行连续手动写入;启动程序:拨动总清开关CLR后,当控制台开关SWB、SWA置为“11”时,按START微动开关,即可转入第01号“取指”微指令,启动程序运行。这三条控制台指令用两个开关SWB、SWA的状态来设置,其定义如表2:表2: C字段: 按照数据通路可画出机器指令的微程序流程图如图2所示,当拟定“取值”微指令时,该微指令的判

计算机组成原理心得

学习计算机组成原理的心得体会 学习了一个学期的《计算机组成原理》这门课程。在郄君老师给我们讲《计算机组成原理》这门课程的学期了,我们对于计算机都有了更深的认识和了解。计算机技术是世界上发展最快的科学技术之一,产品不断升级换代。当前计算机正朝着巨型化、微型化、智能化、网络化等方向发展,计算机本身的性能越来越优越,应用范围也越来越广泛,从而使计算机成为工作、学习和生活中必不可少的工具。对于计算机我们只是一个小小的探索者,还有更大的知识海洋等待着我们去挖掘,去学习。 这学期开始,在郄老师的讲课中我们由浅及深的学习了《计算机组成原理》这门课程。从第一章计算机的概论讲起讲了计算机的发展,分类及应用;计算机的工作过程与性能指标;计算机系统的基本组成;计算机系统的层次结构。然后又分别给我们讲述了计算机中数据的表示;运算方法和运算器;指令系统;中央处理器;储存器等等通过郄老师对于计算机各个方面深入细致的讲解我们对于计算机有了跟多的理解和认识。在对于今后对计算机接触中,给予了我们莫大的帮助,《计算机组成原理》这门课程对我们今后的工作学习也有着不可磨灭的作用。

计算机系统由硬件和软件两大部分组成. (1)硬件的组成(输入设备,输出设备,存储器,运算器,控制器) 输入设备:使计算机从外部获得信息的设备如鼠标,键盘,光笔,扫描仪,话筒,数码相机,摄像头, 手写板输出设备:把计算机处理信息的结果以人们能够识别的形式表示出来的设备如显示器,打印机,绘图仪,音箱,投影仪存储器:如硬盘,光驱,U盘运算器:算术运算,逻辑运算控制器:如从存储器中取出指令,控制计算机各部分协调运行控制器和运算器整合在CPU中(2)软件的组成软件定义:程序和有关文档资料的合称软件分类:系统软件(使用和管理计算机的软件)和应用软件(专为某一应用编制的软件) 常见的系统软件有:操作系统,数据库管理系统和程序设计语言常见的应用软件有:辅助教学软件,辅助设计软件,文字处理软件, 信息管理软件和自动控制软件。《计算机组成原理》中也涉及到1,计算机的特点。发展概况。应用领域。分类。发展趋势。系统的组成。2,数据在计算机中的表示。以及转化。运算规则。和编码。3,运算方法和运算器。4,指令、格式,寻址方式,类型和功能。5,存储系统。6,中央处理器。(CPU),功能,组成,时序。指令周期,基本原理。7,系统总线。概念,分类,组成。借口和总线结构。8,输入输出系统。外设,查询方式。9,外围设备。输入和输出。以及外存、等等有关于计算机的多种方面的知识。

计班计算机组成原理复习重点白中英版

计算机组成原理课程总结&复习考试要点 一、考试以讲授过的教材中的内容为主,归纳要点如下: 第1章 -第2章计算机概念运算方法和运算器 (一)学习目标 1.了解计算机的分类和应用。 2.掌握计算机的软、硬件构成。 3.掌握计算机的层次结构。 3.掌握数的原码、反码、补码的表示方法。 4.掌握计算机中数据的定点表示和浮点表示方法,并熟练掌握各种表示方法下所能表示的数据的范围。 5.理解定点加法原理及其判断溢出的方法。 6.了解计算机定点乘法、除法的实现方法。 7.了解浮点加法,乘法,除法的实现方法。 8.理解ALU运算器的工作原理及其扩展方法。 (二)第1章学习内容 第一节计算机的分类和应用 要点:计算机的分类,计算机的应用。 第二节计算机的硬件和软件 要点:了解计算机的硬件构成及各部分的功能;了解计算机的软件分类和发展演变。 第三节计算机系统的层次结构 要点:了解计算机系统的层次结构。 (三)第2章学习内容 第一节数据和文字的表示方法 要点:△定点数的表示方法,及其在原码、反码和补码表示下的数值的范围;△○浮点数的表示方法及其不同表示格式下数据的表示范围;常见汉字和字符的几种表示方法; 第二节定点加法、减法运算 要点:△补码加、减法及其溢出的检测方法;二进制加法器和十进制加法器的逻辑构成。 第三节定点乘法运算 要点:原码并行乘法原理;不带符号的阵列乘法器;补码并行乘法原理;○直接补码阵列乘法器。 第四节定点除法运算 要点:理解原码除法原理以及并行除法器的构成原理。 第五节多功能算术/逻辑运算单元 要点:△74181并行进位运算器;74182进位链;△○多位ALU的扩展。 第六节浮点运算运算和浮点运算器

计算机组成原理实验报告(运算器组成、存储器)

计算机组成原理实验报告 一、实验1 Quartus Ⅱ的使用 一.实验目的 掌握Quartus Ⅱ的基本使用方法。 了解74138(3:8)译码器、74244、74273的功能。 利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 二.实验任务 熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三种期间的功能。 三.74138、74244、74273的原理图与仿真图 1.74138的原理图与仿真图 74244的原理图与仿真图

1. 4.74273的原理图与仿真图、

实验2 运算器组成实验 一、实验目的 1.掌握算术逻辑运算单元(ALU)的工作原理。 2.熟悉简单运算器的数据传送通路。 3.验证4位运算器(74181)的组合功能。 4.按给定数据,完成几种指定的算术和逻辑运算。 二、实验电路 附录中的图示出了本实验所用的运算器数据通路图。8位字长的ALU由2片74181构成。2片74273构成两个操作数寄存器DR1和DR2,用来保存参与运算的数据。DR1接ALU的A数据输入端口,DR2接ALU的B数据输入端口,ALU的数据输出通过三态门74244发送到数据总线BUS7-BUS0上。参与运算的数据可通过一个三态门74244输入到数据总线上,并可送到DR1或DR2暂存。 图中尾巴上带粗短线标记的信号都是控制信号。除了T4是脉冲信号外,其他均为电位信号。nC0,nALU-BUS,nSW-BUS均为低电平有效。 三、实验任务 按所示实验电路,输入原理图,建立.bdf文件。 四.实验原理图及仿真图 给DR1存入01010101,给DR2存入10101010,然后利用ALU的直通功能,检查DR1、

计算机组成原理实验报告

计算机组成原理课程设计 报告 指导教师: 班级: 姓名: 学号:

一、目的和要求 1.实验目的: 深入了解计算机各种指令的执行过程,以及控制器的组成,指令系统微程序设计的具体知识,进一步理解和掌握动态微程序设计的概念;完成微程序控制的特定功能计算机的指令系统设计和调试。 2、实验要求: 要进行这项大型实验,必须清楚地懂得: (1)TEC-2机的功能部件及其连接关系; (2)TEC-2机每个功能部件的功能与具体组成; (3)TEC-2机支持的指令格式; (4)TEC-2机的微指令格式,AM2910芯片的用法; (5)已实现的典型指令的执行实例,即相应的微指令与其执行次序的安排与衔接; (6)要实现的新指令的格式与功能。 二、实验环境 PC机模拟TEC-2机 三、具体内容 一、实验内容: 选定指令格式、操作码,设计如下指令: (1)把用绝对地址表示的内存单元ADDR1中的内容与内存单元ADDR2中的内容相减,结果存于内存单元ADDR3中。 指令格式:D4××,ADDR1,ADDR2, ADDR3 四字指令(控存入口100H) 功能: [ADDR3]=[ADDR1]-[ADDR2] (2)将一通用寄存器内容减去某内存单元内容,结果放在另一寄存器中。 指令格式:E0 DR SR,ADDR (SR,DR源、目的寄存器各4位)双字指令(控存入口130H) 功能: DR=SR+ [ADDR] (3)转移指令。判断两个通用寄存器内容是否相等,若相等则转移到指定绝对地址,否则顺序执行。 指令格式:E5 DR SR,ADDR 双字指令(控存入口140H) 功能: if DR==SR goto ADDR else 顺序执行。 设计:利用指令的CND字段,即IR10~8,令IR10~8=101,即CC=Z 则当DR==SR时Z=1,微程序不跳转,接着执行MEM PC(即ADDR PC) 而当DR!=SR时Z=0,微程序跳转至A4。 二、实验要求: (1)根据内容自行设计相关指令微程序;(务必利用非上机时间设计好微程序) (2)设计测试程序、实验数据并上机调试。 (3)设计报告内容:包括1、设计目的2、设计内容3、微程序设计(含指令格式、功能、设计及微程序) 4、实验数据(测试所设计指令的程序及结果)。(具体要求安最新规范为准) (4)课程设计实验报告必须打印成册,各班班长收齐大型实验报告于18周星期六下午(15:00)前,交张芳老师办公室。 四、实验程序与分析: (一).把用绝对地址表示的内存单元ADDR1中的内容与内存单元ADDR2中的内容相减,结果存于内存单元ADDR3中。 指令格式:D4××,ADDR1,ADDR2, ADDR3 四字指令(控存入口100H)

计算机组成原理课程设计

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部 ): 计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩:___________________________________ 湖南工业大计算机学院 目录

交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O 口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在1 9世纪就已出现了。 1858 年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止” ,绿色表示“注意” 。1869 年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx 年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止” ,绿灯亮表示“通行”。 19xx 年,又出现了带控制的红绿灯和红外线红绿灯。带控制的4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx 年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停

计算机组成原理心得

学习《计算机组成原理》的心得体会 进入了大二的最后一个学期,本学期都是专业课程,对专业知识的要求也有了提高。本学期学习了《计算机组成原理》让我对计算机系统的组成和工作原理有了较深的理解与感受,也让我对计算机有了一个崭新体会与理解。 《计算机组成原理》是计算机专业一门核心专业基础课,在专业课程内有着非常重要的作用,对于要学习计算机专业的学生来说是一门非常重要的课程,这门课程要求我们通过基础知识的学习,简化问题,理解模型机的工作过程,从而建立计算机系统、计算机整机运行原理的概念,而且计算机的组成及运行原理的基本思想已经渗透到由计算机衍生出来的许多领域,而且我们要想真正理解软件,就必须理解硬件,软件和硬件共存于计算机系统中。 首先计算机组成原理的第一章是计算机概论。计算机是由硬件和软件组成的,计算机的硬件包括运算器,存储器,控制器,适配器,输入输出设备等。软件也是计算机系统结构的重要组成部分,也是计算机不同于一般电子设备的重要根源所在。计算机系统是一个由硬件和软件组成的多层次结构。 而第二章是计算机中的数据表示。我们在这章中要理解计算机中的各种进位计数制,并且必须掌握二进制与十进制之间的转换方法,这是一项学好这门课必须掌握的,接下来要理解数的原码、补码、和反码的概念,还要理解定点数、浮点数的概念和表示方法,掌握数据

校验码的原理。 第三章是运算方法和运算器。尽管有些计算比较麻烦,但是我知道这些是学习这门课的基础。以及相关的指令系统和处理器等的工作原理。使我在概论和数据表示的基础上对计算机组成原理有了更深一步的了解。 第四章是指令系统。这章我们需要了解指令系统的基本概念、要求,并要理解指令的含义,要求我们掌握指令的编码格式、字长和扩展方法,还有几种常用的寻址方式和理解指令的一些基本的执行方式。 第五章是重要的中央处理器。本章我们需要了解CPU的各个组成部分及其功能,要理解指令周期的概念、时序的产生及其功能、CPU 的控制方式和微程序及其相关的概念,了解流水线CPU多核等一些典型的CPU技术。 第六章是存储器。这一章我们需要重点掌握存储器的分类、性能指标和层次结构,掌握随机存储器和只读存储器的工作特征。理解cache的基本原理和工作方式,了解虚拟存储器的工作原理。 第七章是系统总线。计算机总线的功能与组成,总线的概念、连接方式、总线的仲裁、总线的定时以及总线接口的概念和基本功能都需要有深入的了解。 第八章是输入/输出系统。输入/输出系统的功能与组成,教学机的总线与输入/输出系统实例。理解I/O设备的信息交换方式和掌握中断响应过程,还有就是了解DMA方式的基本概念的传送方式和了解

计算机组成原理课程综述

合肥学院 计算机组成原理综述论文 题目计算机组成原理综述系部计算机科学与技术系专业网络工程 班级网工(2)班 学生姓名邓传君 指导教师张向东 2014 年12 月24 日 计算机组成原理课程综述

内容摘要: 计算机组成原理(COMPUTER ORGANIZATION)是依据计算机体系结构,在确定且分配了硬件子系统的概念结构和功能特性的基础上,设计计算机各部件的具体组成,以及它们之间的连接关系,实现机器指令级的各种功能和特性,这点上说计算机组成原理是计算机体系结构的逻辑实现。 关键词:存储、指令、CPU、控制器、微命令 一、计算机组成原理课程综述 计算机组成原理是计算机应用和计算机软件专业以及其他相关专业必修的专业基础课,它主要讨论计算机各组成部件的基本概念、基本结构、工作原理及设计方法。教学实践证明,通过对该课程的学习,对于建立整机概念,研究各功能部件的相互连接与相互作用,进行各功能部件的逻辑设计,都有着重要的意义。组成原理是计算机类专业的一门主干必修课程,它以层次结构的观点来叙述计算机各主要功能部件及组成原理;以数据信息和控制信息的表示、处理为主线来组织教学。课程内容按横向方式组织,即不是自始至终介绍某一特定计算机的组成和工作原理,而是从一般原理出发,结合实例加以说明。 二、计算机组成原理内容和基本原理 下面是我对这门课程知识点的理解: 1.计算机有运算器、存储器、控制器、输入设备、输出设备五大部件组成。 2.指令和数据以同等地位存放于存储器内,并可按地址寻访。 3.指令和数据均用二进制数表示。 4.指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置。 5.指令在存储器内按顺序存放。通常,指令是顺序执行的,在特定条件下,可根据运算结果或根据设定的条件改变执行顺序。 6.机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成。 典型的冯·诺依曼机是以运算器为中心的,现代的计算机已转化为以存储器为中心: 1.运算器用来完成算术运算和逻辑运算,并将运算的中间结果暂存在运算器内。 2.存储器用来存放数据和程序。 3.控制器用来控制、指挥程序和数据的输入、运行以及处理运算结果。 4.输入设备用来将人们熟悉的信息形式转换为机器能识别的信息形式。

计算机组成原理实验报告

计算机组成原理实验报告 ——微程序控制器实验 一.实验目的: 1.能瞧懂教学计算机(TH-union)已经设计好并正常运行的数条基本指令的功能、格式及执 行流程。并可以自己设计几条指令,并理解其功能,格式及执行流程,在教学计算机上实现。 2.深入理解计算机微程序控制器的功能与组成原理 3.深入学习计算机各类典型指令的执行流程 4.对指令格式、寻址方式、指令系统、指令分类等建立具体的总体概念 5.学习微程序控制器的设计过程与相关技术 二.实验原理: 微程序控制器主要由控制存储器、微指令寄存器与地址转移逻辑三大部分组成。 其工作原理分为: 1、将程序与数据通过输入设备送入存储器; 2、启动运行后从存储器中取出程序指令送到控制器去识别,分析该指令要求什么事; 3、控制器根据指令的含义发出相应的命令(如加法、减法),将存储单元中存放的操作数据取出送往运算器进行运算,再把运算结果送回存储器指定的单元中; 4、运算任务完成后,就可以根据指令将结果通过输出设备输出 三.微指令格式: 其中高八位为下地址字段、其余各位为控制字段、 1)微地址形成逻辑 TH—UNION 教学机利用器件形成下一条微指令在控制器存储器的地址、 下地址的形成由下地址字段及控制字段中的CI3—SCC控制、当为顺序执行时,下地址字段不起作用、下地址为当前微指令地址加1;当为转移指令(CI3—0=0011)时,由控制信号SCC 提供转移条件,由下地址字段提供转移地址、 2)控制字段 控制字段用以向各部件发送控制信号,使各部件能协调工作。 控制字段中各控制信号有如下几类: ①对运算器部件为了完成数据运算与传送功能,微指令向其提供了24位的控制信号,包括:4位的A、B口地址,用于选择读写的通用积存器3组3位的控制码I8-I6、 I5-I3、I2-I6,用于选择结果处置方案、运算功能、数据来源。 3组共7位控制信号控制配合的两片GAL20V8 3位SST,用于控制记忆的状态标志位 2位SCI,用于控制产生运算器低位的进位输入信号 2位SSH,用于控制产生运算器最高,最地位(与积存器)移位输入信号 ②对内存储器I/O与接口部件,控制器主要向它们提供读写操作用到的全部控制信号,共3位,即MRW

《计算机组成原理》课程标准

《计算机组成原理》课程标准 一、课程基本情况 课程名称:计算机组成原理 适用专业:计算机应用专业 课程性质:专业核心课程 计划学时:60学时 二、制定课程标准的依据 本课程教学标准依据中职计算机应用专业的专业教学标准中的人才培养目标和培养规格以及对 计算机组成原理课程教学目标要求而制定,用于指导计算网组成原理课程教学和课程建设。 三、课程性质 本课程是计算机应用专业的一门专业核心课程。本课程通过介绍计算机硬件基本结构、工作原理和分析设计方法等方面的知识,培养学生对计算机的整机概念有较完整清晰的认识,对计算机的硬件结构有深刻的理解和对硬件的分析与设计方法有一定的认识。同时也为学习后续课程打下一定的基础。 四、本课程与前续课程和后续课程的关系 本课程学习和训练之前,学生应已修完如下课程:计算机应用基础、数字电路,而他的后续课 程是计算机系统结构、计算机组成原理。本课程在他的前续课程和后续课程之间起到了纽带的作用。 五、课程的教育目标 1.知识、能力目标 (1)知道《计算机组成原理》这门学科的性质、地位和独立价值; (2)理解计算机系统的运算器、控制器、存储器、输入设备和输出设备五大组成部件的概念和功能,及以整机的工作原理; (3)理解数值数据的表示方法以及运算器的计算方法,了解非数值数据的表示方法和常用编码; (4)理解运算器、控制器、存储器、以及有关的输入设备和输出设备等各个部件的组成结构和基本功能; (5)掌握基本的定点数的加、减运算和实现的基本逻辑电路框图以及浮点数的表示方法; (6)掌握指令的概念和功能以及指令的各种寻址方式和指令类型; (7)知道存储器层次结构和主存系统的设计方法; (8)掌握CPU的功能及组成; (9)理解几种常见的外围设备的信息交换方式; (10)了解常用的外围设备和使用方法; (11)理解组合逻辑控制器和微程序控制器的基本的设计和分析方法。 2.方法、过程目标 (1)通过本课程的学习,培养学生通过计算机组成原理实验,进一步理解计算机内部的工作原

计算机组成原理实验报告册

实验一监控程序与汇编实验 实验时间:第周星期年月日节实验室:实验台: (以上部分由学生填写,如有遗漏,后果由学生本人自负) 1、实验目的 1)了解教学计算机的指令格式、指令编码、选择的寻址方式和具体功能。 2)了解汇编语言的语句与机器语言的指令之间的对应关系,学习用汇编语言设计程序的过程和方法。 3)学习教学机监控程序的功能、监控命令的使用方法,体会软件系统在计算机组成中的地位和作用。 2、实验平台 硬件平台:清华大学TEC-XP实验箱的MACH部分 软件平台:监控程序、PC端指令集仿真软件 3、实验要求 1)学习联机使用TEC-XP 教学实验系统和仿真终端软件; 2)使用监控程序的R 命令显示/修改寄存器内容、D 命令显示存储器内容、E 命令修改存储器内容; 3)使用A 命令写一小段汇编程序,使用U命令观察汇编码与机器码之间的关系,用G 命令连续运行该程序,用T命令单步运行并观察程序单步执行情况。 **代码不得写到0000——1FFF的地址单元中,如有违反将被取消当堂成绩 4、操作步骤及实验内容 1)实验箱功能开关设置及联机操作: 1. 将实验箱COM1口与PC机相连; 2. 设置功能状态开关为00110; 3. 于PC端运行; 4. 按RESET,START键,若PC端出现如下输出(如图所示),则操作成功; 图 2)仿真软件相关操作: 1. 在项目文件夹找到并启动; 图

2. 点击文件-启动监控程序; 图 4.若PC端出现如下输出(如图所示),则操作成功; 图 3)理解下列监控命令功能: A、U、G、R、E、D、T 1. A命令:完成指令汇编操作,把产生的指令代码放入对应的内存单元中,可连 续输入。不输入指令直接回车,则结束A命令(如图所示); 图 2. U命令:从相应的地址反汇编15条指令,并将结果显示在终端屏幕上(如图所 示); 图 注:连续使用不带参数的U命令时,将从上一次反汇编的最后一条语句之后接着继续反汇编。 3. G命令:从指定(或默认)的地址运行一个用户程序(如图所示); 图 4. R命令:显示、修改寄存器内容,当R命令不带参数时,显示全部寄存器和状 态寄存器的值(如图所示); 图 5. E命令:从指定(或默认)地址逐字显示每个内存字的内容,并等待用户打入 一个新的数值存回原内存单元(如图所示); 图 6. D命令:从指定(或默认)地址开始显示内存120个存储字的内容(如图所示);

《计算机组成原理》总结完整版

《计算机组成原理》学科复习总结 ★第一章计算机系统概论 ?本章内容:本章主要讲述计算机系统的组成、计算机系统的分层结构、以及计算机的一些主要指标等 ?需要掌握的内容:计算机软硬件的概念,计算机系统的层次结构、体系结构和计算机组成的概念、冯.诺依曼的主要思想及其特点、计算机的主要指标 ?本章主要考点:概念 1、当前的CPU由那几部分组成组成? 控制器、运算器、寄存器、cache (高速缓冲存储器) 2、一个完整的计算机系统应包括那些部分? 配套的硬件设备和软件系统 3、什么是计算机硬件、计算机软件?各由哪几部分组成?它们之间有何联系? 计算机硬件是指计算机的实体部分,它由看得见摸得着的各种电子元器件,各类光、电、机设备的实物组成。主要包括运算器(ALU)、控制器(CU)、存储器、输入设备和输出设备五大组成部分。软件是计算机程序及其相关文档的总称,主要包括系统软件、应用软件和一些工具软件。软件是对硬件功能的完善与扩充,一部分软件又是以另一部分软件为基础的再扩充。 4、冯·诺依曼计算机的特点 ●计算机由运算器、存储器、控制器、输入设备和输出设备五大部件组成 ●指令和数据以同等地位存于存储器内,可按地址寻访 ●指令和数据用二进制表示 ●指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储 器中的位置 ●指令在存储器内按顺序存放 ●机器以运算器为中心,输入输出设备和存储器间的数据传送通过运算器完成 5、计算机硬件的主要技术指标 ●机器字长:CPU 一次能处理数据的位数,通常与CPU 中的寄存器位数有关 ●存储容量:存储容量= 存储单元个数×存储字长;MAR(存储器地址寄存器)的位数 反映存储单元的个数,MDR(存储器数据寄存器)反映存储字长 主频 吉普森法 ●运算速度MIPS 每秒执行百万条指令 CPI 执行一条指令所需的时钟周期数 FLOPS 每秒浮点运算次数 ◎第二章计算机的发展及应用 ?本章内容:本章主要讲述计算机系统、微型计算机系统的发展过程以及应用。 ?需要掌握的内容:计算机的发展的不同阶段区分的方法、微型计算机发展中的区分、摩尔定律 ?本章主要考点:概念 1、解释摩尔定律

相关主题
文本预览
相关文档 最新文档