当前位置:文档之家› 数字电路综合设计 拔河游戏机电路设计

数字电路综合设计 拔河游戏机电路设计

数字电路综合设计 拔河游戏机电路设计
数字电路综合设计 拔河游戏机电路设计

2008年12月26日星期五 23:45

数字电路综合设计

组员:xxx xxx

学号:xxxxx xxxxx

拔河游戏机电路设计

一、设计要求:

1)、任务:

用数字集成电路设计一个拔河游戏机

2)、基本要求:

○1能通过输出信号快慢使LED左右闪;○2电路具有清理裁判功能和自锁功能;○3电路开始后只有中间一个点亮。

3)、发挥部分

○1电路具有胜利音乐功能;

○2电路具有统计胜利功能;

一、实验电路

1、实验电路框图如图1所示。

图1 拔河游戏机线路框图

2、整机电路图

拔河游戏机原理图3

二、实验设备及元器件

1. +5V直流电源

2. 逻辑电平开关

3. 74LS154(实际电路由74LS138x2代替) 4线-16线译码/分配器

a) 74LS192 同步递增/递减 BCD计数器

b) CD4071 与门74LS00×3 与非门

c) CD4030 异或门

d) 电阻1K×4

三、设计整体说明

1. 图3为拔河游戏机整机线路图。

2. 可逆计数器74LS138x2原始状态输出4位二进制数0000,经译码器输出使中间的一只发

光二极管点亮。当按动A、B两个按键时,分别产生两个脉冲信号,经整形后分别加到

可逆计数器上,可逆计数器输出的代码经译码器译码后驱动发光二极管点亮并产生位

移,当亮点移到任何一方终端后,由于控制电路的作用,使这一状态被锁定,而对输入

脉冲不起作用。如按动复位键,亮点又回到中点位置,比赛又可重新开始。

四、设计方案步骤:

1. 编码电路:由双时钟BCD同步可逆计数器74LS192构成,它有2个输入端,4个输出端,

能进行加/减计数

2. 整形电路:由与门CD4071和与非门74LS00构成。因74LS192是可逆计数器,控制加

减的CP脉冲分别加至5脚和4脚,此时当电路要求进行加法计数时,减法输入端CPD

必须接高电平;进行减法计数时,加法输入端CPU也必须接高电平,若直接由A、B键

产生的脉冲加到5脚或4脚,就有很多时机在进行计数输入时另一计数输入端为低电平,

使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。加一整形电路,使

A、B二键出来的脉冲经整形后变为一个占空比很大的脉冲,这就减少了进行某一计数

时另一计数输入为低电平的可能性,从而使每按一次键都有可能进行有效的计数。

图3为整形电路图

整形电路时钟脉冲信号输出的波形图

3. 译码电路

4. 选用(因为器材原因)由74LS138x2组成4-16线译码器代替4-16线74LS154译码器。

译码器的输出Q0~Q14 分接15个(或9个)个发光二极管,二极管的正端接地,而负

端接译码器;这样,当输出为高电平时发光二极管点亮。如下图

4

74LS138x2组成的4-16线译码器图4

5. 比赛准备,译码器输入为0000,Q0 输出为"1",中心处二极管首先点亮,当编码器进

行加法计数时,亮点向右移,进行减法计数时,亮点向左移。

6. 4、胜负控制电路:

7. 由或非门74LS00构成,其作用是指示出谁胜谁负。当亮点移到任何一方的终端时,判

该方为胜,此时双方的按键均宣告无效。将双方终端指示灯的正接至或非门的2个输入

端,当获胜一方为"1",而另一方则为"0",或非门输出为"0",再送到74LS193

计数器的置数端,于是计数器停止计数,处于预置状态,由于计数器数据端D0、

D1、D2、D3和输出Q0、Q1、Q2、Q3对应相连,输入也就是输出,从而使计数器对脉冲

不起作用。

五、制作过程

1. 根据所选择的电路方案用multisim10 设计电路的原理图。

2. 仿真:根据原理图以及整机电路图,对应仿真链接,检查无误后进行仿真测试。。

3. 布线:就检查错误,把元件的位置按照实际的需要及美观来摆放。布线,会有部分的交

叉线,然后就手动调整,直到最合理的布线,通过截切版图出来。

4. 印刷:这些工作后,就把版图打印到转印纸上。根据打印好的印纸进行焊接布线准备。

5. 焊接:根据原理图和版图上元件的摆放,把元件一一对应焊接到板子上去。需要跳线的

就用导线接起来。

6. 检查。根据原理图和版图,来检查元件有没有摆错位置,检查有没有虚焊的地方。

六、调试。

1. 检查电路的完整性和正确性,确保没有交叉短路和断路的线。

2. 在电源的接入端接+5V电源。

3. 开机后看看是否只有在拔河绳子中间的发光二极管亮。

4. 接着按两个按钮看看发光二极管的发光顺序,是不是和预想的要求一致。

七、实验报告

设计过程中出现的问题:

1. 设计过程进行仿真过程中有很多集成芯片无法跟实际应用中得到的一样,只能通过等效

代换集成芯片进行仿真实验。

2. 实际焊接电路过程中要进行多不电路焊接,如果一次性焊接很容易在细节方面出很多问

题。具体分为:1、整形电路;2、译码电路;3、显示电路;

3. 整形电路制作过程中因为芯片的引脚连接的时候是使用74LS00和CD4071的芯片引脚焊

接电路。开始时候利用CD4011和CD4071的芯片进行实验,电路无法进行正常工作。改

换成74LS00和CD4071集成芯片,在输出CP脉冲为正常脉冲。。

4. 译码电路: 74LS192芯片是同步BCD十进制译码器,输出0000-1010!由74LS138x2组

成的4-16线译码器输出0000-1111,所以在拔河时候会出现LED全灭情况!

5. 显示电路:出现问题,LED发光无法通过CP加减脉冲信号使LED进行有效的左右闪亮。

右边可以实现拔河原理,左边的电路在手接触CD4071的输出悬空端口时候才能正常工

作。

解决问题:

4、把Y0-Y4接到布局图右边,Y9-Y6接到布局图左边,这样进行拔河就不会出现全灭情况。

5、因为CMOS系列的引脚不能悬空,所以要相应接高低电平。手接触CD4071悬空引脚时相

当于接高点评。通常,COMS系列与门和与非门悬空引脚接高电平,COMS系列或门和或

非门悬空引脚接高电平!

总结:

整个设计过程包括,选择电路,选择器材,仿真,实际焊接,测试以及调试。几个部分。。

通过实际操作让自己更加深刻了解到,要做好一个设计电路一定要对所要设计电路的原理了

解才能通过原理附以实践,让自己加深自己的理论认识以及感性认识。从其中自己对计数器

的原理完全掌握,完全可以通过理论把设计课题加以完美。不问收获,但问耕耘!天道酬勤!!

八、参考资料:

[1] 《数字电路入门》科学出版社 [日]桂太郎着

[2]《数字电路设计与制作》科学出版社 [日]汤山俊夫着

[3]《使用电路基础》机械工业出版社王源编

[4]《电子线路实战》科学出版社钟谊编

[5] http进程电路查询网

[6] 电子电路图站

[7] 电子之城

[8] 电子爱好者

[9] 的博客

九、其它设计方案:

方案一:会庆祝胜利的拔河游戏机

在日常生活中,每一次的胜利加上一些音乐作为背景,整个空间的气氛会因为播放不同的音

乐使人的心情都是不一样的。所以在发光LED的正极加上一个高电平输出音乐芯片,当到达

胜利的LED发光时候所有的输入锁住,音乐芯片开始播发音乐,那将是如何的一种场面呢

方案二:输入脉冲信号源可以通过触发器替换RS的输入脉冲信号,再加上与门就可以完成

一个整形电路。。。可以节省很多材料!

十、设计成品图

上一篇>>设计课题(拔河游戏)下一篇>>很久没有来空间了!!!

类别:默认分类 | | 添加到搜藏 | 分享到i贴吧 | 浏览(38936) | 评论(11) 网友评论

回复1遗失的角2009-01-31 12:35

做出来了很好!!顶!!

回复2wtoangel2009-02-21 23:02

对啊!!觉得自己辛苦那么几天是值得的。。但是做完之后,

自己觉得自己很笨,做了很多无用功。

A:其中把那些芯片的功能和引脚没有了解好,其实也很难理解

啊。。。

B:自己傻乎乎的在没有了解芯片引脚时候直接按照课本的引脚

和软件的仿真引脚进行实物仿真和软件仿真。导致失败。

C:一个很严重的设计问题,一次性将所有的电路线路软件仿真,

运行电源失败;一次性在试验箱上面仿真,线路太多导致实验

中间LED暗淡,其他亮,失败无法查处原因(最后知道最终原

因是忘记了74LS160的引脚是非门输出,所以中间的LED刚好

和原理图的输出中间LED发光相反)。

D:从C错误知道应该分部将原理图分成多个部分各个组成测试

无误之后组合就可以完成。通过软件仿真和软件测试之后完成

整个电路的工作。。

E:从软件成功到硬件的成功其中也走咯一些弯路。。。主要是

万能板布线太多导致有点混乱和选空间没有全部注意到导致整

个程序运行出现不问题跳下个灯。经过多次测试完成作品。。。

回复3wtoangel2009-02-21 23:05

最后的老师的总结:有空多花时间去学习,如果真的要做好设计,大三时候用单片机设计更加好。。听的有点失落,作品交上去咯,自己却不觉得兴奋,合作伙伴也没当回事啊。悲哀。。

好想把作品拿回去珍藏啊,因为这样本来大三才开的课程,个人大二下学期就开始接触单片机和protel软件,现在在努力

中!!因为太多相关专业的应用需要自己去学习也但是不知道

如何找到重点!!

回复4左衡2009-06-13 01:56

你太帅了,我爱你~~

回复5wtoangel2009-06-13 21:32

回复左衡:哈哈,一般一般。。其实在控制电路的脉冲输入信

号可以改成防震抖动的电路输入就行了。。

回复6owenluo2009-08-06 16:40

回复7zch0 11:01

整形电路部分不清楚

回复8唐僧洗头爱理发2009-12-28 21:40

我们正是要设计拔河游戏机,你的资料对我们的帮助太大了,谢谢你哦,但是还是有点不全面和有一点点错误,如果有元器

件引脚图和明细表就更好了,但这只是明珠上的一粒灰尘。。。。回复9唐僧洗头爱理发2009-12-30 13:09

74LS200能用CD4011代替吗

回复10wtoangel2010-01-01 15:11

呵呵都可以啦

回复 18:16

拔河游戏机的设计与制作报告

《数字电子技术》课程设计报告拔河游戏机设计与制作 设计要求: 拔河游戏机需用9个发光二极管排列成一行,开机后只有中间一个发亮, 以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产 生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到 任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保 持,只有经复位后才使亮点恢复到中心线。 设计人:夏凯强学 号: 7 专业:计算机班 级: 09<1> 班 成绩:评阅人: 安徽科技学院理学院 拔河游戏机的设计与制作 拔河游戏机需用9个发光二极管排列成一行,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 一、设计要求 (一)设计指标 (1)初始9个发光二极管排列成一行,开机后只有中间一个点亮; (2)游戏双方各持有一个按键,按键按动一次,亮点有可能移动一次; (3)亮点移动的方向与按键快的一方一致; (4)当任一方终端二极管点亮时,这一方就得胜,再按键电路输出不变;

(5)按复位后,回到初始状态 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 1.拔河游戏机的构成 本次拔河游戏机的主要设计思路是让电平指示灯由中点向速度快的一方延伸,而阻止向速度慢的一方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向右方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点时就把电路锁定,此时双方按键均无作用,只有按了复位按键双方才能继续下一局的比赛,计数器就记录双方的获胜的次数,数码管显示胜者赢的盘数。 图1 拔河游戏机总体结构图 2.整形电路的设计 CC40193是可逆计数器,控制加减的CP脉冲分别加至和CP D和CP U,此时当电路要 求进行加法计数时,减法输入端CP D 必须接高电平;进行减法计数时,加法输入端CP U 也必须接高电平,若直接由A、B键产生的脉冲加到CP U 和CP D ,那么就有很多时机在 进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。加一整形电路,使从A、B按键出来的脉冲经整形后变为一个占空比很大的脉冲,这样就减少了进行某一计数时另一计数输入为低电平的可能性,从而使每按一次键都有可能进行有效的计数。整形电路由与门CC4081和与非门CC4011构成。 3.编码电路 编码器有二个输入端,四个输出端,要进行加 / 减计数,因此选用CC40193双时钟十进制同步加 / 减计数器来完成。其电路及连接方式如下: 4.整形电路 由与门CC4081和与非门CC4011构成整形电路。起初,由两片CC4011构成一RS 触发器,它有两个输入端R、S和两个输出端Q、Q。当R=1、S=0时,则Q=0,Q=1,触发器置1。当R=0、S=1时,则Q=1,Q=0,触发器置0,当触发器的两个输入端加入不同逻辑电平时,它的两个输出端Q和Q有两种互补的稳定状态。S=0,R=1使触发器置1,或称置位。因置位的决定条件是S=0,故称S 端为置1端。R=0,S=1时,使触发器置0,或称复位。同理,称R端为置0端或复位端。经RS触发器 后再经与门和非门,以达到整形的目的。 因CC40193是可逆计数器,控制加减的CP脉冲分别加至5脚和4脚,此时当电路要求进行加法计数时,减法输入端CPD必须接高电平;进行减法计数时,加法输入端CPU也必须接高电平,若直接由A、B键产生的脉冲加到5脚或4脚,就有很多时机在进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。加一整形电路,使原先加的脉冲

电子拔河游戏机 EDA 实验

安徽财经大学 电子拔河比赛游戏机的设计 姓名:陈辉、胡安宁、郭真真、朱晓庆 学号:2010830044、2010830027、2010830018、2010830043 学院:管理科学与工程学院 专业:电子信息工程 指导老师:于帅珍 设计时间:2013年5月

目录 一、设计任务以及要求--------------------------------------- 4 二、总体框图-------------------------------------- --------------4 三、选择器件---------------------------------------- ------------5 四、功能模块-------------------------------- --------------------10 五、总体设计-------------------------------------- --------------16

一、?设计任务以及要求 1. 设计一个模拟拔河游戏机比赛的逻辑电路。 2. 电路使用15个电平指示灯排成一排,开机后只有中间一个点亮,以此作 为拔河的中心线。 3. 比赛双方各持一个按键,迅速不断的按动产生脉冲,谁按得快,亮点向谁 方向移动。每按一次,亮点移动一次。 4.移动到任何一方终端指示灯点亮,这一方得胜,此时双方按键均无作用,输出保持,只有经裁判按动复位后,恢复到中心线。 5.显示器显示胜者的盘数。 二、总体框图 1. 设计方案: (1)本课题所设计的拔河游戏机由15电平指示灯排列成一行,开机 之后只有中间一个电平指示灯亮,以此作为拔河的中心线。可逆计数器原始状态为0000,经译码后输出中间的电平指示灯亮。游戏双方各持一个按键,迅速地、不断地按动产生脉冲信号,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加减脉冲无效。同时,使计分电路自动加分。当两人比赛结束后,裁判可以让计分显示器清零。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加 减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2. 电路原理图:

电子拔河游戏机设计

电子技术课程设计说明书 课程名称:电子技术课程设计题目:电子拔河游戏机设计 课程设计任务书 一、设计题目 拔河游戏机 二、主要内容及要求 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极亮。 3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当裁判按动复位后,在拔河绳子中间的发光 二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 三、进度安排 1.认真思考和理解所选题目的有关要求,大致知道要求做什么。 2.根据实验的设计要求,到图书馆或上网查找相关的资料,了解拔河游戏 机的工作原理。 3.学习数字电路中触发器、计数器、译码显示器等单元电路的设计及综合 应用,掌握逻辑电路的设计与测试方法,等。 4.综合相关的资料,设计实验方案。 5.根据所设计方案,用仿真软件进行电路仿真。 6.根据要求撰写实验报告。 四、总评成绩

指导教师 学生签名 目录 一.设计任务分析 (1) 二.拔河游戏机的总体方案设计 (1) 2.1设计思路 (1) 2.2电路原理图 (1) 2.3电路工作原理 (3) 2.4各单元电路的设计 (3) 三.元器件的使用 (6) 四.总结 (7) 4.1实验评价 (7) 4.2心得体会 (8) 参考文献 (8) 附录 (8)

一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1)本课题所设计的拔河游戏机由15个电平指示灯排列成一行,开 机之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方 各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方 向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一 方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使 亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动

电子电工毕业设计论文拔河游戏机

数字电路课程设计报告设计课题:拔河游戏机 专业班级:20111461班光信专业 学生姓名: 学号: 学生姓名: 学号: 指导老师: 设计时间:2014年6月8日

一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1)本课题所设计的拔河游戏机由9个电平指示灯排列成一行,开机 之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方各 持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向 移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方 就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮 点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲 信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动加分。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加 减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。 2.2 电路原理图: 图一,图二分别为拔河游戏机的电路框图、整机逻辑图。

2.3 电路工作原理: 可逆计数器CC40193原始状态输出4位二进制数0000,经译码器输出 点亮。当按动A、B两个按键时,分别产生两使中间的一只电平指示灯Q 个脉冲信号,经整形后分别加到可逆计数器上,可逆计数器输出的代码经译码器译码后驱动电平指示灯点亮并产生位移,当亮点移到任何一方终端后,由于控制电路的作用,使这一状态被锁定,而对输入脉冲不起作用。如按动复位键,亮点又回到中点位置,比赛又可重新开始。

拔河游戏机设计报告

数字逻辑综合性实验设计报告 课程名称数字逻辑实验 题目名称电子拔河游戏机 班级20120615 学号2012061518 学生姓名孙晓行 同组班级20120615 同组学号2012061517 同组姓名孙静 指导教师武俊鹏、孟昭林、刘书勇、赵国冬 页脚内容- 0 -

2014年06 月 页脚内容- 1 -

摘要 电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。由一排LED发光二极管表示拔河的“电子绳”。游戏双方各拥有一个比赛时使用的单脉冲按钮,参与者按动一次按钮就产生一个脉冲,谁按的频率快产生的脉冲就多,由发光的LED灯的左右偏移模拟拔河过程,LED灯的偏移方向和位移由比赛双方所给出的脉冲数实时决定,该功能需要用计数电路通过加减计数来实现。当移动到某方的最后一个LED灯时,则该方获胜,连续比赛多局以定胜负。 此次设计的电路,主要分为四部分:控制电路部分;计数电路部分;电子绳电路部分;计分器电路部分。 其中控制电路部分主要由2个JK触发器和一个锁存器构成;计数电路主要由2个74LS192组成;电子绳电路由3个74LS138译码器,17个74LS04和17盏灯组成;计分器电路由两个74LS161计数器构成。 关键词:“拔河”;开关;脉冲;LED灯;左右偏移;计分电路 页脚内容- 2 -

目录 1 需求分析 ......................................................................................................................................... - 6 - 1.1 基本功能要求 ....................................................................................................................... - 6 - 1.2 创新拓展功能....................................................................................................................... - 6 - 1.3 设计原理 .............................................................................................................................. - 7 - 2 系统设计......................................................................................................................................... - 8 - 2.1 系统逻辑结构设计 ............................................................................................................... - 8 - 页脚内容- 3 -

课程设计——拔河游戏机

课程设计任务书 题目拔河游戏控制电路 专业学号姓名 主要内容、基本要求、主要参考资料等: 主要内容 * 1.阅读相关科技文献。 2.学习protel软件的使用。 3.学会整理和总结设计文档报告。 4.学习如何查找器件手册及相关参数。 技术要求 1.< 2.要求电路使用9个发光二极管显示拔河过程,开机后只有中间一个发光二极管发光,即为拔河 中心; 3.游戏双方各持一个按钮,按钮每按下一次,亮点向本方移动一次,拔河过程中有且只有一个发 光二极管发光; 4.亮点移动到任意一段的终端二极管时,此方获胜,并且此时双方按钮均无作用,输出状态保持, 复位后亮点回到中心点。 主要参考资料 1.何小艇,电子系统设计,浙江大学出版社,2001年6月; ( 2.姚福安,电子电路设计与实践,山东科学技术出版社,2001年10月; 3.王澄非,电路与数字逻辑设计实践,东南大学出版社,1999年10月;

4.李银华,电子线路设计指导,北京航空航天大学出版社,2005年6月;5.康华光,电子技术基础,高教出版社,2003。 } 完成期限: 2011年6月28日 指导教师签章: 专业负责人签章: 2011年6月27日

摘要 》 本课题的主要任务是让拔河游戏控制电路的电平指示灯由中点向己方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向延伸。当延伸到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛。 此电路可分为脉冲发生器电路和计数/译码器电路两大部分。脉冲发生器电路部分采用两个与非门组成的基本RS触发器构成的去抖电路以及有与门、与非门构成的整形电路,可以将按钮A、B产生的脉冲整形成占空比较高的信号。计数器电路部分以74LS/HC193为主体,译码器采用由集成芯片74HC138扩展的4线-16线译码器。芯片74LS/HC193根据UP端和DOWN端的状态来判断进行加计数还是减计数,然后将计数结果输出到由74HC138构成的译码器,译码器将结果输出到发光二极管。由于74HC138输出端为低电平,所以当双方终端二极管对应的输出端有一个为低时即表示游戏结束,双方按键失效。此功能实现可将74LS193的输出置数至输入端,使译码器的输出保持,将双方的端二极管对应的输出进行与运算,如果有一个为低则输出为低,再接低电平有效的置数端,实现游戏结束时按键无作用。 关键字:去抖电路 74LS138 反馈置数 &

数电电子拔河游戏机设计

一、实验名称:拔河游戏机设计 二、实验目的: 为了理论结合实际的电子基础知识,验证,巩固和消化电子技术基本知识,综合应用数字电子技术解决生产第一线的实际问题,提高基本的专业动手能力,进一步培养分析问题和解决问题的能力,以后更好的为社会服务。 三、实验任务: 设计主要容及要求: 1、设计一个模拟拔河游戏比赛的逻辑电路。 2、电路使用9个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3、比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4、亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 5、用七段数码管显示双方的获胜盘数。 四、实验设计思路 基本要求如下: (1)比赛开始时,由裁判(K)下达命令后,甲(A)乙(B)双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。 (2)“电子绳”到少由9个LED管构成,裁判下达“开始比赛”的命令后,位于“电子绳”中点的LED点亮。甲乙双方通过按键输入信号,使发亮的LED管向自己一方移动,并阻止其向对方延伸。当从中点至自己一方终点的LED管全部点亮时,表示比赛结束。这时,电路自锁,保持当前状态不变,除非由裁判使电路复位。 五、总体设计方案: 1、电路设计原理 拔河游戏机需用15个(或9个)发光二极管排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任

电子拔河游戏机

1.设计目的 加强学生对数字电子技术专业知识的理解和掌握,训练并提高其在理论计算、电路设计、资料文献查阅、运用相关标准与规范、电路仿真等方面的能力;为毕业设计(论文)奠定良好的基础。 2.设计任务 2.1设计指标 此次设计的电子拔河游戏机是模拟拔河比赛的电子游戏机,比赛开始的时候,只有当裁判下达指令时双方才可以输入信号,电路具有自己锁定的功能,在未下达命令前,电路不能开始运行。比赛开始后,双方通过控制按键进行比赛,使亮色的LED灯管移向自己的那一方。哪一方的速度按键越快,那么哪一方就会占优势,直到发亮的LED灯管移到自己的终点那一端,即为获胜方,此时电路自动锁定,双方按键无效,显示管显示比赛的结果数字。若循环比赛,则依次循环以上过程。 2.2设计要求 (1)拔河游戏机用9个电平指示灯排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线。(2)游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。 (3)移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 (4)最后,显示器显示胜者的盘数。 3.电子拔河游戏机的组成和工作原理 3.1电子拔河游戏机的构成 本次设计中共包含六个分支,分别是:整形电路,编码电路,译码电路,控制电路,胜负显示电路以及复位电路构成。 3.2原理分析 比赛两人通过开关产生脉冲,经过整形电路后接74LS192同步十进制可逆计数器,经74LS192同步二进制可逆计数器对信号进行加减后接译码电路,译码电路译码后显示到电平显示器上。再把胜负电路接到两头最边的电平显示灯上,胜负电路收到胜负信号后显示胜负次数。当比赛结束后由复位控制对显示胜负装置和电平显示灯回复到初始状态。这样就达到了设计目的和要求。 (1)整形电路:由与门74LS08和与非门74LS00构成。CP脉冲加到5脚和4脚控制加和减的,当加法计数时,减法输入端CPD接高电平;减法计数时,加法输入端CPU接高电平,但如果Q、W键产生的脉冲直接加上去,很多情况下在进行计数输入时另一计数输入端为低电平,计数器不能计数,双方按键均失去作

拔河游戏机课程设计实验报告

课程设计说明书 课程名称:数字电子技术课程设计题目:拔河游戏机 班级: 姓名: 学号: 同组人:

设计任务书 一、设计题目 拔河游戏机 二、主要内容及要求 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极亮。 3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当裁判按动复位后,在拔河绳子中间的发光二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 三、进度安排 1.认真思考和理解所选题目的有关要求,大致知道要求做什么。 2.根据实验的设计要求,到图书馆或上网查找相关的资料,了解拔河游戏机的工作原理。 3.学习数字电路中触发器、计数器、译码显示器等单元电路的设计及综合应用,掌握逻辑电路的设计与测试方法,等。 4.综合相关的资料,设计实验方案。 5.根据所设计方案,用仿真软件进行电路仿真。 6.根据要求撰写实验报告。 设计过程 一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1)本课题所设计的拔河游戏机由15个电平指示灯排列成一行,开机之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用.即实现电路自锁,使加家减脉冲无效。同时,使计分电路自动加分。 (3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。

拔河游戏数字电路设计

一、实验目的: 1.学习数字电路中基本D触发器、计数、译码显示等单元电路的综合应用。 2.熟悉拔河游戏机的工作原理。 3.复习数字电路中D触发器、4线—16线译码器、计数器、译码显示器等部 分内容。 4.分析拔河游戏机组成、各部分功能及工作原理。查出各芯片引脚排列及功 能。 二、实验器件: 1个CC4514 4线—16线译码器 1个74LS191 双向加减计数器 2个74LS160 十进制加法计数器 3个与门、2个或门、2个非门 2个D触发器 三、设计任务与要求: (1)设计思路 拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮点向谁方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 用七段数码管显示胜者取胜的盘数。 (2)任务与要求: 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3.比赛双方各持一个按钮,快速不断地按动按钮产生脉冲,谁按得快,发光的二极管 4.就向谁的方向移动,每按一次,发光二极管移动一位。 5.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都 应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 6.用七段数码管显示双方的获胜盘数。 7.根据设计要求合理选择方案。

四、总体设计方案: (1)设计思路 1.设计的主要任务是控制“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动。用可预制的加/减计数器作主要器件,用计数器的输出状态通过译码器控制LED发亮。当向计数器输入“加脉冲” 时,使其作加运算而发亮的LED向增大的一方移动,相反,当输入“减脉冲”时,发亮的LED向相反的方向移动。 2.当一局比赛结束,即发亮的LED移动某一方的终点时,由点亮该终点灯的信号使电路封锁加/减脉冲信号的作用,既实现电路的自锁,使加/减脉冲无效。同时,使电路自动加分。 3.控制电路部分应能够控制由振荡器产生的脉冲信号进入计数器的加/减脉冲输入端,其进入方向由参赛双方输入的按键信号决定。 (2)电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。最后,显示器显示胜者的盘数。 图1电子拔河游戏机原理框图

数字电子技术课程设计报告 电子拔河游戏机

数字电子技术课程设计报告 班级:信息0611 ;姓名:何海强;学号40550311 设计题目:电子拔河游戏机 同组成员:史立光、田劲、王萌、路长发;组长:何海强 功能描述: 1、整体描述:电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电 路。由一排16个LED发光二极管表示拔河的“电子绳”。由甲乙双方通过按钮开关使发光的LED管向自己一方的终点延伸,当延伸到某方的最后一LED管时,则该方获胜,并对获胜次数进行计数,连续比赛多局以定胜负。用键盘上的A键和Z键表示开关按钮。 用键盘上的S键赖代替清零信号,每次比赛前都要进行清零,并使按钮开关复位。 2、比赛开始,由裁判下达比赛命令后(,用空格键代表裁判信号,摁一下空格键),甲乙 双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。裁判信号由键盘空格键来控制。 3、“电子绳”由16个LED管构成,裁判下达“开始比赛”的命令后,摁一下空格键,位于 “电子绳”中点的LED发亮。甲乙双方通过按键输入信号,用键盘上的数字键A键Z 键来模拟,摁一下A向左移动,摁一下Z键向右移动。使发亮的LED管向自己一方移动,并阻止其向对方延伸,谁摁得快就向这一方移动。当从中点至自己一方的最后一个LED管发亮时,表示比赛结束,这时,电路自锁,保持当前状态不变,除非由裁判使电路复位,并对获胜的一方计数器自动加一。 4、记分电路用两位七段数码管分别对双方得分进行累计,在每次比赛结束时电路自动加 分。 5、双方得分计数器的清零信号由键盘上的数字键2,3键来实现。当比赛结束时,计分器清零,为下一次比赛做好准备。 方案设计: 1.总体设计思路(含电路原理框图): 电路的原理框图如下图所示:

电子拔河游戏机设计全文

数字逻辑与数字系统课程 设计报告 设计题目:拔河游戏机 专业班级:计算机科学与技术08-2班 学生:程杨杨20082567 同组学生:郑恒2008 指导教师:

拔河游戏机 摘要:本实验使我们进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力 本课程设计的内容就是采用74LS00、74LS193、4线-16线译码器CC4514、74LS02、CC 4518设计的一个电子拔河游戏机,该游戏机具有整形、 计数、译码、控制、复位等功能,设计原理简单易懂,所设计的游戏机的游戏规 则和真的拔河比赛规则相类似。 目录 一.设计任务与要求 (1) 1.设计任务 (1) 2.设计要求 (1) 二.总体设计方案 (1) 1.设计思路 (1) 2.电路设计原理 (1) 3.两个方案的对比 (6) 4. 实验方案论证 (6) 5. 实验目的 (6) 6. 实验器件 (7) 三.单元电路设计与参数计算 (7) 1. 整形电路 (7) 2. 计数电路 (7) 3. 译码电路 (8) 4. 胜负显示电路 (9) 四.总原理图及元器件清单 (11) 1. 总原理图 (11) 2. 说明 (14) 3. 元器件清单 (14) 五.结论与心得 (15)

六.参考文献 (16) 一.设计任务与要求 设计思路 给定实验设备和主要元器件按照电路设计的各部分组成一个完整的拔河游戏机。 1.拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的 中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮 点向谁方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方 就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。 2.用七段数码管显示胜者取胜的盘数。 任务与要求: 1.设计一个模拟拔河游戏比赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 6.根据设计要求合理选择方案。 二、总体设计方案: 2.1、设计思路 1.该设计的主要任务是控制“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动。用可预制的加/减计数器作主要器件,用计数器的输出状态通过译码器控制LED发亮。当向计数器输入“加脉冲”时,使其作加运算而发亮的LED向增大的一方移动,相反,当输入“减脉冲”时,发亮的LED向相反的方向移动。 2.当一局比赛结束,即发亮的LED移动某一方的终点时,由点亮该终点灯的信号使电路封锁加/减脉冲信号的作用,既实现电路的自锁,使加/减脉冲无效。同时,使电路自动加分。 3.控制电路部分应能够控制由振荡器产生的脉冲信号进入计数器的加/减脉冲输入端,其进入方向由参赛双方输入的按键信号决定。 2.2、电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这

电子拔河游戏机 实验报告

电子技术综合实验 设计报告 设计题目:电子拔河游戏机 专业班级: 学生: 学号: 指导教师:

一、设计任务 电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。由一排LED发光二极管表示拔河的电子绳。由甲、乙二人通过按钮开关使发光的LED管向自己一方的终点移动,当亮点移到任何一方的终点时,则该方获胜,连续比赛多局以定胜负。 二、设计要求 1)由裁判下达比赛开始命令后,甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。 2)电子绳由17个LED管构成,裁判下达比赛开始命令后,位于电子绳中点的LED管发亮。甲、乙二人通过按钮开关使发光的管向自己一方的终点移动,并阻止其向对方延伸。当点亮的LED管到达某一方的终点时,该方获胜。此时通过自锁功能锁定电路,使输入不再有效,必须由裁判再次发出比赛开始命令时方能开始下一次比赛。 3)某方赢一次,有计分电路自动给该方加1分,通过多次比赛以定胜负。 三、总体方案设计 本方案中,有效输入信号代表的是拔河的力度的相对大小。则当A=1,B=0时,表示甲的力度比乙的力度大;当B=1,A=0时,表示乙的力度比甲的力度大;当A=1,且B=1时,表示甲的力度与乙的力度一样大;当A=0且B=0时,表示甲、乙均还未开始拔河。 当裁判员下达比赛开始命令后,比赛开始,甲、乙中的任意一方输入有效信号后,计数器开始计数。若甲输入有消信号时,进行加计数;若乙输入有效信号时,进行减计数。电子绳的LED开始移动;当甲、乙同时输入有效信号时,电子绳上点亮的LED灯不再移动。 当一局比赛结束后,电子绳上某一头的LED保持亮,此时,甲、乙的输入信号不再有效,计分电路此时记录并显示甲、乙总共的比赛成绩。当裁判下达下一局比赛开始的命令后,比赛才能开始,甲、乙输入信号才有效。 基本实验原理图如下:

电子拔河游戏机设计全文

电子拔河游戏机设计全文 设计题目:拔河游戏机 专业班级:运算机科学与技术08-2班学生:程杨杨20082567 同组学生:郑恒2008 指导教师:

拔河游戏机 摘要:本实验使我们进一步把握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力 本课程设计的内容确实是采纳74LS00、74LS193、4线-16线译码器CC4514、74LS02、CC 4518设计的一个电子拔河游戏机,该游戏机具有整形、 计数、译码、操纵、复位等功能,设计原理简单易明白,所设计的游戏机的游戏规 则和确实拔河竞赛规则相类似。 名目 一.设计任务与要求 (1) 1.设计任务 (1) 2.设计要求 (1) 二.总体设计方案 (1) 1.设计思路 (1) 2.电路设计原理 (1) 3.两个方案的对比 (6) 4. 实验方案论证 (6) 5. 实验目的 (6) 6. 实验器件 (7) 三.单元电路设计与参数运算 (7) 1. 整形电路 (7) 2. 计数电路 (7) 3. 译码电路 (8) 4. 胜负显示电路 (9) 四.总原理图及元器件清单 (11) 1. 总原理图 (11) 2. 说明 (14) 3. 元器件清单 (14) 五.结论与心得 (15) 六.参考文献 (16)

一.设计任务与要求 设计思路 给定实验设备和要紧元器件按照电路设计的各部分组成一个完整的拔河游戏机。 1.拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的 中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮 点向谁方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方 就得胜,现在双方按键均无作用,输出保持,只有经复位后才使亮点复原到中心线。 2.用七段数码管显示胜者取胜的盘数。 任务与要求: 1.设计一个模拟拔河游戏竞赛的逻辑电路。 2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 3.竞赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。 4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。 5.用七段数码管显示双方的获胜盘数。 6.依照设计要求合理选择方案。 二、总体设计方案: 2.1、设计思路 1.该设计的要紧任务是操纵“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动。用可预制的加/减计数器作要紧器件,用计数器的输出状态通过译码器操纵LED发亮。当向计数器输入“加脉冲”时,使其作加运算而发亮的LED向增大的一方移动,相反,当输入“减脉冲”时,发亮的LED向相反的方向移动。 2.当一局竞赛终止,即发亮的LED移动某一方的终点时,由点亮该终点灯的信号使电路封锁加/减脉冲信号的作用,既实现电路的自锁,使加/减脉冲无效。同时,使电路自动加分。 3.操纵电路部分应能够操纵由振荡器产生的脉冲信号进入计数器的加/减脉冲输入端,其进入方向由参赛双方输入的按键信号决定。 2.2、电路设计原理 拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就得胜,现在双方按键均无作用,输出保持,只有经复位后才使亮点复原到中心线。最后,显示器显示胜者的盘数。

EDA课程设计拔河游戏机

东北石油大学课程设计 2014年 3 月7日

东北石油大学课程设计任务书 课程EDA技术课程设计 题目拔河游戏机 专业电子信息工程姓名学号 主要内容、基本要求、主要参考资料等 主要内容: 电子拔河游戏机是一种能容纳甲乙双方参赛游戏电路。由一排发光二极管表示拔河的“电子绳”。由甲乙双方通过按纽开关使发光二极管向一方的终点延伸,当延伸到某方的最后一个发光二极管时,则该方获胜,连续比赛多局以定胜负。 基本要求: 1、设计一个能进行拔河游戏的电路。 2、电路使用9个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。 4、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 5、用数码管显示获胜者的盘数。 主要参考资料: [1] 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005. [2] 康华光主编.电子技术基础模拟部分. 北京:高教出版社,2006. [3] 阎石主编.数字电子技术基础. 北京:高教出版社,2003. 完成期限2014.3.7 指导教师 专业负责人 2014年3月3日

一、设计思想 1.基本原理 拔河游戏机是通过控制“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动,按键较快的一方获胜。此次课程设计主要用到加/减计数器,通过其输出状态再通过译码器控制LED发亮。加/减计数器,输入“加脉冲”,加运算亮,亮点向右移;输入“减脉冲”,减运算亮,亮点向左移。 拔河游戏机由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止计数。当亮点达到任一方的终点时,该终点发出电路封锁加/减脉冲信号,实现电路自锁,使加/减脉冲立即无效,同时实现电路自动加分。 双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行一次计数,这样得到双方取胜次数的显示设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。 2.设计框图 甲乙上方比赛按键通过频率计数器指示,根据中心LED比较,当一方取胜时,相应计数器计数,通过数码管显示取胜次数。 图1 设计流程图

电子电工毕业设计论文拔河游戏机

数字电路课程设计报告 设计课题: 拔河游戏机 专业班级:20111461班光信专业 学生姓名:学号: 学生姓名:学号: 指导老师: 设计时间:2014年6月8日

一、设计任务分析 本课题的主要任务是让拔河游戏机的电平指示灯由中点向我方延伸,而阻止其向对方延伸。可以设想用可预置的加/ 减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向移动。当移动到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛,而计数器就记录双方的获胜的次数。 二、总体方案设计 2.1 设计思路: (1) 本课题所设计的拔河游戏机由9 个电平指示灯排列成一行,开机之后 只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作 用,输出保持,只有经裁判复位后才使亮点恢复到中心线。 (2) 当一局比赛结束后, 由点亮该终点灯的信号使电路封锁加减脉冲信号的 作用. 即实现电路自锁, 使加家减脉冲无效。同时,使计分电路自动加分。 (3) 控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲 的输入端,其进入方向则由参赛双方的按键信号决定。2.2 电路原理图: 图一,图二分别为拔河游戏机的电路框图、整机逻辑图。

+5V 图二 2. 3 电路工作原理: 可逆计数器CC40193原始状态输出4位二进制数0000,经译码器输出 使中间的一只电平指示灯Q 0点亮。当按动A 、B 两个按键时,分别产生两 个脉冲信号,经整形后分别加到可逆计数器上,可逆计数器输出的代码经 译码器译码后驱动电平指示灯点亮并产生位移, 当亮点移到任何一方终端 后,由于控制电路的作用,使这一状态被锁定,而对输入脉冲不起作用。 如按动复位键,亮点又回到中点位置,比赛又可重新开始。 将双方终端指示灯的正端分别经两个与非门后接到 2个十进制计数器 CC4518的使能端EN 当任一方取胜,该方终端指示灯点亮,产生 1个下 選错开关 rp.- D ;. Di D I D J 亡ft 「 74LS193 _ 匚畔 Qd 0 Q : Qg LD H L & =1 INH LE V D CC4S14 N P2 E c Q;Q1Q:Q 丄 + 5X P j ”

数电拔河游戏机课程设计

. 电子技术 课程设计 成绩评定表 设计课题:拔河游戏机 学院名称:电气工程学院 专业班级:测控1402 学生姓名:李梦捷 学号:201423030210 指导教师:侯燕、李艳贞 设计地点:31-618 设计时间:2016-6-27~2016-7-1 指导教师意见: 成绩: 签名:年月日

电子技术 课程设计 课程设计名称:拔河游戏机 专业班级:测控1402 学生姓名:李梦捷 学号:201423030210 指导教师:侯燕、李艳贞 课程设计地点:31-618 课程设计时间:2016-6-26~2016-7-1

电子技术课程设计任务书 学生姓名李梦捷专业班级测控1402 学号201423030210 题目拔河游戏机 课题性质工程设计课题来源自拟 指导教师侯燕、李艳贞 主要内容(参数) 游戏分甲乙两方,设计一拔河游戏机。用按键的速度来模拟双方的力量,以点亮的发光二极管的左右移动显示双方比赛的状态。 拔河游戏机需要使用15个(或9个)发光的二极管排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断按动产生脉冲,谁按得快亮点向谁的方向移动,每按一次亮点移动一次。移动到任意一方的终端二极管点亮,这一方就取得了胜利。此时双方的按键均不起作用,输出保持,只有经复位后才使亮点恢复到中心线。要设置显示器,显示甲乙双方赢的局数。 任务要求(进度) 第1-2天:熟悉课程设计任务及要求,查阅技术资料,确定设计方案。 第3-4天:按照确定的方案设计单元电路。要求画出单元电路图,元件及元件参数选择要有依据,各单元电路的设计要有详细论述。 第5-6天:撰写课程设计报告。要求内容完整、图表清晰、文理流畅、格式规范、方案合理、设计正确,篇幅合理。

相关主题
文本预览
相关文档 最新文档