当前位置:文档之家› 数字逻辑2013期末复习

数字逻辑2013期末复习

数字逻辑2013期末复习
数字逻辑2013期末复习

数字逻辑 2013期末复习一

一、单项选择题

1、n 个变量可构成( 3 )个最小项。 (1)、2n (2)2n-1 (3)n

2 (4)1

2

-n

2、集电极开路(OC )门电路如下所示,该电路实现的逻辑功能是( 1 )。

(1)DE ABC ? (2)ABCDE (3)DE ABC +(4)DE ABC ?

3、若两个逻辑函数表达式的对偶式F`和G`相等,则逻辑函数F 和G ( 1 )。 (1)相等 (2)不相等 (3)可能等也可能不等 (4)互补

4、为了实现将D 触发器转换为JK 触发器,D 应等于( 1 )。 (1)Q K Q J + (2)Q K JQ ?+ (3)Q K Q J + (4)Q K JQ ?+

5、构成一个6进制加法计数器,至少需要( 2 )个触发器。 (1)2个 (2)3个 (3)4个 (4)5个

6、对同一逻辑门电路,分别使用正逻辑和负逻辑表示输入和输出之间的关系,其表达式( 2 )。 (1) 互为反函数 (2) 互为对偶式 (3)相等 (4) 答案都不对

7、F (A,B,C,D)=AB+CD,它包含的最小项个数是( 3 ) (1) 2个 (2) 4个 (3) 7个 (4) 8个

8、下列编码是有效余三码的是( 3 )。

(1) 1111 (2) 0000 (3) 1010 (4) 0010

9、F(A,B,C,D)=AB+CD ,变量A,B,C 哪个取值组合能使F=1。( 2 ) (1) 0000 (2) 0011 (3) 0101 (4) 1010 10、八进制数(175.236)8的十六制数是( 1 )。 (1)

16(7.4)D F (2)16(7.4)D E (3)16(7.4)C F (4)16(7.3)D F

11、下列逻辑函数中,与(A+B )(A+C)等价的是( 3 )。 (1) F=AB (2)F=A+B(3) A+BC (4) F= B+C

12、函数F 的卡诺图如图1-1,其最简与或表达式是( 4 )。

(1)D B A D B A F +=D C A + (2)D B A D C A C B A F ++=

(3)D C A D B A C B A F ++=(4)D B A D B A D B A F ++=

13、4:10线译码器,输入信号端有( 4 )个。 (1)10 (2) 2 (3) 3 (4)4

14、用四选一数据选择器实现函数Y=0101A A A A +,应使( 1 )。

(1)D0=D2=0,D1=D3=1 (2)D0=D2=1,D1=D3=0 (3)D0=D1=0,D2=D3=1(4)D0=D1=1,D2=D3=0 15、时序电路中不可缺少的部分为( 2 )。

(1)组合电路 (2) 记忆电路 (3) 同步时钟信号 (4) 组合电路和记忆电路

16、与非门构成的基本RS 触发器,欲使该触发器保持现态,即1n n Q Q +=,则输入信号应为( 2 )。

(1)S=R=0 (2)S=R=1 (3)S=1,R=0 (4)S=0,R=1

17、n 个触发器构成的计数器中,有效状态最多有( 4 )个。

(1)n(2)2n (3)2n-1 (4)2n

18.把一个五进制计数器与一个四进制计数器串联可得到( 4 )进制计数器。 (1)4 (2)5 (3)9 (4)20

19、双向数据总线常采用( 3 )构成。

(1) 数据分配器(2) 数据选择器(3)三态门(4)译码器 20、最小项ABCD 的逻辑相邻项是( 2 )。 (1)ABCD (2)ABCD (3)ABCD (4)ABCD

21、 逻辑函数F (ABC )=A ⊙C 的最小项标准式为( 3 )。 (1)F=∑(0,3)

(2)C A C A F +=

(3)F=m0+m2+m5+m7 (4) F=∑(0,1,6,7)

22、 一个四输入端与非门,使其输出为0的输入变量取值组合有( 4 )种。 (1) 15 (2) 8 (3) 7 (4) 1

23、 设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( 3 )个异或门。 (1)2 (2) 3 (3) 4 (4) 5

AB

CD 00

01 11

10

00 01 11 10

1

1

1

1

1

1

图1-1

第3页共页

24、某符号二进制数原码为11010,其补码为( 4 )。 (1) 01010 (2) 11010 (3) 10101 (4) 10110

25、逻辑函数F1=∑m (2,3,4,5, 7,8, 9,10),2F ABC ABCD ABC ABC ACD =++++它们之间的关系是( 4 )。

(1)12F F =(2)12F F =(3)12F F =(4)1F 、2F 互为对偶式

二、填空题(共X 分,共 X 题,每题1 分)

1、无符号二进制数(.)2的等值十六进制数是( 2D.BC )。

2、逻辑函数D C B A F ++=的反函数F =( )(D C B A F += )。

3、A 和B 都是逻辑变量则A+A+A +B+B+B =( A+B )。

4、已知函数的对偶式BC D C B A F ++='则它的原函数F=( ))(()(C B D C B A F ++?+= )。

5、用n 级触发器构成的计数器,计数容量最多可为( 2n

)。 6、

M GH D C B A F +++=])[(的对偶式是( M H G D BC A F ))((+++= )。

7、逻辑代数的基本运算有(与) 、(或) 、(非) 三种。 8、(1001 1000)8421BCD =( 62 )16

9、有一个27种状态的编码,至少要用( 5 )个触发器来表示。

10、JK 触发器的特性方程为Q n+1

=(n n n Q K Q J Q +=+1) , D 触发器的特性方程为Q n+1

=(D),T 触发器的

特性方程为Q n+1

=(n

Q T ⊕) 。

11、布尔代数的基本规则有代入规则,( 反演规则 )和对偶规则。

12、用卡诺图法化简逻辑函数比布尔代数法更容易得到最简的逻辑函数表达式,缺点是( 变量个数 )受一定的限制。

13、数据分配器是一种单路输入,____多________输出的逻辑构件。

14、组合逻辑电路在结构上不存在输出到输入的___反馈_____,且电路的输出与_历史__输入状态无关。 15、采用一对一法进行状态编码时,10个状态需要用___4___个触发器实现。 16、利用并项法1=+A A ,C B A ABC +的简化表达式为__AC_____________。

19、锁存器或触发器再电路上具有两个稳定的物理状态,我们把输入信号变化之前的状态称为__现态______,输入信号变化后的状态称为___次态_____。 20、用计数器产生序列,至少需要____6___个触发器。

三、判断分析题(正确划上“√” ,错误划上“×”)

( × )1、8421BCD 码具有奇偶特性。

( × )2、与无符号二进制数()2等值的8421BCD 码是()。

( √ )3、TTL 与非门电路不用的输入端可以悬空,悬空时,相当于“1”电平。

( √ )4、若触发器的时钟端画了“小圆圈” ,则该触发器状态的转换发生在时钟脉冲的下降沿。

( × )5、D 触发器特性方程

D Q n =+1,这表明在任何情况下,Q 端总是和D 端的状态一样。 ( × )6、逻辑代数中常量1大于常量0 。

( √ )7、F(A,B,C)=∑m (0,1,2,3,4,5,6,7)=1 。

( √ )8、在同一逻辑问题中,最大项Mi 的非等于最小项mi 。 ( × )9、推拉输出电路和OC 电路间可以“线与”连接使用。 ( √ )10、格雷码的特点是任意二个相邻码组间只有一位变化。

四、逻辑函数化简

1、用公式法化简下列函数为最简与或式 (1)D D C C A B A F +?++?=1

D

C A B

D C A B A D C C A B A D D C C A B A F +++=+++?=+++?=+?++?=1

(2)))()((2D B D B C A F +++=

C

B AB B

C A

D B D B C A F +=?+=+++=)())()((2

2、用卡若图化简下列函数为最简与或式

1) F(A,B,C,D)=∑m(3,6,8,9,11,12) + ∑d(0,1,2,13,14,15)

CD AB

00 01 11

10

00 d d 1 d 01 1 11 1 d d d 10

1

1

1

2)

)

15,14,13,12,10,8,7,6,3,2(),,,(3∑=m D C B A F

1

1 10

1 1

1 1 11 1 1 01

1 1 00 10 11 01 00 AB CD

D C A D B C A F ++=

D A C A AB F ++=

第5页共页

3)D C B A ABC D C B D B A CD A C B A F +++++=

D AC C B A

F ++=

五、组合逻辑电路题

1、 根据下列电路列出函数式,并说明电路的逻辑功能。

ABC C ABC B ABC A F ??=

根据函数真值表可知,该电路是一个三数不一致判断电路。

函数真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0

1

1

10

1 1 1 1 11 1 1 1 1 01 0 0 0 1 00 10 11 01 00 AB CD

2、逻辑电路的输入信号ABC与输出信号F的波形如下图所示。①列出逻辑函数F的真值表;②写出函数的逻辑表达式;③画出相应的电路图。

A

B

C

F

A 1 0 1 0 1 0 1 0 1 0 1 0

B 0 0 1 1 0 0 1 1 0 0 1 1

C 1 1 1 1 0 0 0 0 1 1 1 1

F 0 1 0 0 1 1 1 1 0 1 0 0

真值表

A B C F

0 0 0 1

0 0 1 1

0 1 0 1

0 1 1 0

1 0 0 1

1 0 1 0

1 1 0 1

1 1 1 0

(+

=

=

A

+

F)

C

B

B

A

C

A

≥1

&

B

F

C

第7页共页

3、试用4选1数据选择器设计一个三变量的多数表决电路,设同意用“1”表示,不同意用“0”表示,表决通过用“1”表示,没有通过用“0”表示。作出真值表,画出电路图。

六、触发器

1、由门和触发器构成的电路如下图所示,设触发器的起始状态为“0”,试根据时钟脉冲CP 和A 、B 的输入画出Q 端的波形。

函数真值表 A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

1

1 1 0 1 0 1 0 0 0 10 11 01 00

AB

C S1 S0

D0 D1 D2 D3

Z A B

0 1

C F

七、同步时序电路

1、试分析下列同步时序逻辑电路,作出方程组、状态转换表、状态转换图、时序图并说出该电路完成什么功能。

J 1=K 1=1,Q 1n+1=Q 1n

J 2=K 2=Q 1,Q 2n+1

=Q 1n

⊕Q 2n

Z=Q 1Q 2

现态

次态

Q 2n Q 1n

Q 2

n+1

Q 1

n+1

0 0 0 1 0 1 1 0 1 0 1 1 1 1 0 0

该电路是一个模4加法计数器。

2、试用JK 触发器设计一个“1001”串行序列检测器(可重叠),其输入为X ,输出为Z 。作出状态转换图、状态转换表、求出激励方程和输出方程、画出电路图。

00/0 01/0

10/0

11/1 A

B

C

D

E

1/0 0/0

0/0

1/1

0/0 0/0

1/0

0/0

1/0

1/0

第9页共页

用隐含表法化简,{A},{B,E},{C},{D}是最大等效类,用B 状态代替{B,E},可得如下最简状态表

状态编码: A=00,B=11,C=10,D=01。

X

1K 2,Q X 1J 1Q X 2K X,2J =+=+==

八、判断函数D B A A B C B

D A F ++=是否可能发生竞争?竞争的结果是否会产生险象?在什么情况下产生险象?若可能产生险象,用增加冗余项的方法消除。

现态 次态 X=0 X=1 A A/0 B/0 B C/0 B/0 C D/0 B/0 D A/0 E/1 E C/0 B/0

Q 2n Q 1

n

Q 2n+1Q 1n+1

X=0 X=1 A 00 00/0 11/0 D 01 00/0 11/1 B 11 10/0 11/0 C

10

01/0

11/0

现态

次态 X=0 X=1 A A/0 B/0 B C/0 B/0 C D/0 B/0 D A/0 B/1

1

10

1 1 1 11 1 1 01 00 10 11 01 00 AB CD 增加冗余项卡若图

1

10

1 1 1 11 1 1 01 00 10 11 01 00 AB

CD 原始卡若图 1

121

n 1

2121n 21

2XQ Q )Q (X Q Q )Q (X Q X Q Q Q X Z ++=++==++

从原始卡若图上可以看出电路会产生竞争,并会产生险象,当A=1,C=1,D=1,F=B+B,产生“0”型险象;

当B=1,C=1,D=1,F=A+A,产生“0”型险象;增加冗余项,可消除险象,A

F+

=+ D

+

B D

A B C

B

D

A

B+

C AC

D

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

数字逻辑和设计基础 期末复习题

1、采用3-8线译码器74LS138和门电路构成的逻辑电路如图所示,请对该电路进行分析,写出输出方程,并化解为最简与-或式。(10分) 1、解:分析此图,可知:F1=0134m m m m +++, F2=4567m m m m +++ 化简过程:由卡诺图及公式化简均可,此处略 化简得:1F A C BC =+(2分) 2F A = 2.已知逻辑函数: F ABC ABC ABC ABC ABC =++++,试用一片4选1数据选择器和门电路实现该逻辑函数,要求采用代数法,写出设计全过程,并画出电路图。 (10分) A 1 ST Y D 0D 1D 2D 3 A 0 ① 写出逻辑函数F 的表达式(2分) ==F A B C AB C ABC A BC ABC A B C AB C C A BC ABC A B C AB A BC ABC =+++++++++++() ② 写出4选1数据选择器输出端逻辑函数Y 的表达式(2分) 100101102103Y A A D A A D A A D A A D =+++ ③令 10A A A ==、B ,比较F 和Y 两式可得: (2分)

01231D C D D D C ==== ④ 根据上式画出的逻辑图。(4分) 五、 画出下列各触发器Q 端的波形:(设Q n = 0)(10 分,每小题5 分) 1、已知JK 触发器输入信号J 和K 、时钟脉冲CP 、异步置位端D R 和D S 的波形如下图 所示,试画出触发器输出端Q 的波形,设初始状态为0。(5分) Q CP J S D D K J 2、下图由边沿D 触发器构成的触发器电路,设其初始状态为0。输入信号如右 图所示,试画出Q 端的输出波形。(5分) CP Q D R D

2014-2015数字逻辑试卷

数字电路与逻辑设计期末考试样题 一、TO FILL YOUR ANSWERS IN THE “( )”(1’ X 5) 1. An unused CMOS NAND gate input should be tied to logic ( ) or another input. 2. DAC can proportionally convert ( ) input to analog signal output. 512 3. A truth table for a ( ) input, 4-output combinational logic function could be stored in a 4 EPROM. 4. The RCO output of 74X163 is asserted if and only if the enable signal ( )is asserted and the counter is in state …1111?. 5. If the signed-magnitude representation is(001101)2 for one number, then it?s 8-bit two?s complement representation is()2. 二、Single selection problems: there is only one correct answer in the following questions.(2’ X 5) 1、An 8-output demultiplexer has ( ) select inputs. A. 2 B. 3 C. 4 D. 5 2、For a logical function ,which representation as follows is one and only(唯一). ( ) A. logic expression B. logic diagram C. truth table D. timing diagram 3、In general, to complete the same function, compared to a MOORE machine, the MEAL Y machine has ()。 A. more states B. fewer states C. more flip-flops D. fewer flip-flops 4、To design a “1000001” serial sequence generator by shift registers, at least needs a ( ) bit shift register. A. 2 B. 3 C. 4 D.5 5、The following logic expressions is equal, and the hazard-free one is ( ). A. F=B?C?+AC+A?B B. F=A?C?+BC+AB? C. F=A?C?+BC+AB?+A?B D. F=B?C?+AC+A?B+BC+AB?+A?C?

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

“数字逻辑”试题复习资料

………密………封………线………以………内………答………题………无………效…… 电子科技大学二零零六至二零零七学年第二学期期末考试 试卷评分基本规则 数字逻辑设计及应用课程考试题中文A卷(120分钟)考试形式:闭卷考试日期2007年7月日课程成绩构成:平时20 分,期中20 分,实验0 分,期末60 分 一、填空题(每空1分,共5分) 1、CMOS与非门的未用输入端应连接到逻辑(1)电平或者输入信号连接端上。 2、DAC的功能是将(数字)输入成正比地转换成模拟输出。 512 EPROM可存储一个(9 )输入4输出的真值表。 3、4 4、74X163的RCO输出有效条件是:仅当使能信号(ENT)有效,并且计数器的状态是15。 5、已知二进制原码为 ( 001101) 2 , 问对应的8-bit的补码为 ( 00001101 )2. 二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分) 1、八路数据分配器的地址输入端有(B)个。 A. 2 B. 3 C. 4 D. 5 2、以下描述一个逻辑函数的方法中( C )只能唯一表示。 A.表达式 B.逻辑图 C.真值表 D.波形图 3、实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要的( B )。 A. 状态数目更多 B. 状态数目更少 C. 触发器更多 D. 触发器更少 4、使用移位寄存器产生重复序列信号“1000001”,移位寄存器的级数至少为(D)。 A. 2 B. 3 C. 4 D.5 5、下列各逻辑函数式相等,其中无静态冒险现象的是(D)。 A. F=B’C’+AC+A’B B. F=A’C’+BC+AB’ C. F=A’C’+BC+AB’+A’B D. F=B’C’+AC+A’B+BC+AB’+A’C’

数字逻辑试卷(A)

数字逻辑试卷(A) 1.十进制数的特点一是( 逢十进一 ),二是有( 十 )个计数符号 2. R 进制数R M 可表示为R M = ∑--=1 n m i i a ( R ) 3. (15.75)10 =( 1111.11 )8 4. (562)10 =( 0101 0110 0010 )8421BCD 5.(1010.10)2 =( (A.8)16 )16 6.每位八进制数可用( 3 )位二进制数表示。 7.ASCII 码是七位二进制代码,最多可以表示( 128 )个字符。 8.最基本的逻辑门电路是( 与 )门、( 或 )门和( 非 )门。 9.8个变量有( 28 )个最小项。 10.施密特触发器V +≠V -称之为( 滞后 )特性。 二、判断(每小题1分,本大题10分) 1.循环码也是BCD 码。( n ) 2. 同或是异或的反。( y ) 3. 1=+ABCD D C B A ( n ) 4.组合电路是各种门电路构成的,不包含触发器。( y ) 5.二进制译码器给定输入,所有输出中只有一个是有效电平。( y ) 6.优先编码器允许多个输入同时有效。( y ) 7.边沿触发器的状态变化只能发生在CP 有效边沿到达的一瞬间,在CP 的高电平、低电平期间以及无效边沿时触发器状态不变。( y ) 8.异步时序电路无统一的时钟。( y ) 9.Mealy 型时序电路的输出是输入和现态的函数。( y ) 10.多谐振荡器需要外加触发信号才能产生矩形波输出。( n ) 三、单项选择(将正确选择的编号填入括号中,每小题1分,本大题10分) 1.下列BCD 码哪个是无权码?(B .余3码 ) 2.逻辑函数的哪种表示方式是唯一的?(B .真值表) 3.下列哪种门输出端不能直接并联?( C .普通与非门) 4.下列哪种电路在输出端可以得到输入变量的全体最小项?(A .二进制译码器 ) 5.二——十进制编码器有十个输入,有几个输出?( B.4个 )

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

数字逻辑课程三套作业及答案课案

数字逻辑课程作业_A 一、单选题。 1.(4分)如图x1-229 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第五章 解析第五章译码器 2.(4分)如图x1-82 (C)。 A. (A) B. (B) C. (C) D. (D)

知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 A. N B. 2N C. N2次方 D. 2N次方 知识点:第九章 解析第九章计数器 4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。 A. A.n B. B.2n C. C.2n-1 D. D.2n-2n 知识点:第九章 解析第九章集成计数器 5.(4分)如图x1-293

(A)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 6.(4分)如图x1-317 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4分)EPROM是指(C)。 A. A、随机读写存储器

B. B、只读存储器 C. C、光可擦除电可编程只读存储器 D. D、电可擦可编程只读存储器 知识点:第十章 解析第十章只读存储器 8.(4分)如图x1-407 (B)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 9.(4分)为实现将JK触发器转换为D触发器,应使(A)。 A. J=D,K=D非 B. B. K=D,J=D非 C. C.J=K=D D. D.J=K=D非

数字逻辑试卷

东莞理工学院(本科)试卷(A 卷) 2008 --2009 学年第一学期 《数字逻辑》试卷 开课单位: 计算机学院 ,考试形式:闭卷,允许带 入场 题序 一 二 三 四 五 六 七 八 总 分 得分 评卷人 一、 填空题(共40分,每题2 分) 1、十进制数126.625的二进制编码 ,十六进制编码 。 2、十进制数15的BCD 码 ,余3码 。 3、已知[N]补= 10100000,则其[N]原= 。 4、逻辑函数F=A ⊕B 和G=A ⊙B 满足关系 。(填选项代号) A 、G F = B 、G F =' C 、G F =' D 、1G F ⊕= 5、某存储器地址线为A 0-A 11,数据线为D 0-D 7,该存储器容量为 字节。 6、消除函数 的竞争冒险,应增加冗余项 。 7、实验时,TTL 芯片发烫,不可能的原因是 。 A 、插反芯片 B 、电源使用12V C 、电源与地短路 D 、电源使用4V 8 、 常 用 两 种 集 成 同 步 时 序 电 路 器 件 、 。 9、脉冲异步时序电路中,触发器状态的变化 (是、不是)同时发生的。 10、某同步时序电路,状态转移图如图所示,其功能 得分

是。 11、555定时器的功能有、、。 12、超前进位加法器与串行进位加法器相比,速度。 13、8位ADC输入满量程为10V,当输入5V电压值,数字输出量为。 14、芯片74LS32如下图所示,内含个输入端的门。 15、若要某共阴极数码管显示数字“5”,则显示代码abcdefg为。(0000000~1111111) 16、与TTL门电路相比,CMOS门电路功耗(大、小),速度(快、慢)。 17、电可擦可编程存储器是。 A.ROM B.PROM C.EPROM D.EEPROM 18、在下列电路中不是组合逻辑电路的是。 A、译码器 B、编码器 C、全加器 D、寄存器 19、触发器按结构可分为基本触发器、触发器、触 发器、触发器等。 20、与普通门电路不同,OC门在工作时需要外接和。 二、逻辑函数简化(共14分)得分

《数字逻辑》期末考试A卷参考答案

《数字逻辑》期末考试 A 卷参考答案 、判断题:下面描述正确的打’/,错误的打‘X’(每小题1分,共10 分) 1、为了表示104个信息,需7位二进制编码[V ] 2、BCD码能表示0至15之间的任意整数[X ] 3、余3码是有权码[X ] 4、2421码是无权码[X ] 5、二值数字逻辑中变量只能取值 6、计算机主机与鼠标是并行通信 7、计算机主机与键盘是串行通信8、占空比等于脉冲宽度除于周期0和1,且表示数的大小[X ] [X ] [V ] [V ] 9、上升时间和下降时间越长,器件速度越慢[V ] 10、卡诺图可用来化简任意个变量的逻辑表达式[X ] 、写出图中电路的逻辑函数表达式。(每小题5分,共10分) 1、F=A B 2、F= AB CD 2分,共20分)

1、在图示电路中.能实现逻辑功能F = ATH 的电路是 A ° TTL 电路 (A) F = ABCD (B) F = AH ? CD - (C) F= A + B + C + D (D) F = A + B ? C + D (E) F= A BCD 4 . 己知F 二ABC + CD ■可以肯定使F = 0的情况是 _° (A) A=0, BC= 1; (B) B= 1 , C= 1 } (C) AB= 1, CD=Q. (D) BC= 1 , D= 1 5、逻辑函数A B+BCD+A C+ B C 可化简为A,B,C,D 。 (A) AB + AC + BC (B) AB + C (A4-B) (C) AB + CAB A — O ? =1 1 Q A |— I 1 F ?- & 1 Q A B L I l — (B) 悬0 ---- 空 。— A — & Bo — Co- & B A 3 ?满足如图所示电路的输岀函数F 的表达式为丄3 B 1 o — V OF

数字逻辑第一章课后答案

(1)(1011.10101)2 =(13.52)8=(0B.A8)16=(11.65625)10 (2)(1110.11001)2 =(16.62)8=(0E.C8)16=(14.78125)10 (3)(110110.111)2 =(66.7)8=(36.E )16=(54.875)10 (4)(10101.0011)2 =(25.14)8=(15.3)16=(21.1875)10 1-2 (1)(105.625)10 =(1101001.101)2=(69.A )16 (2)(27/64)10 =(0.011011)2=(0.6C )16 (3)(37.4)10 =(100101. 01100110)2=(25.66)16 (4)(42.375)10 =(101010. 011)2=(2A.6)16 (5)(62/128)10 =(0.0111110)2=(0.7C )16 (6)(9.46)10 =(1001. 01110101)2=(9.75)16 1-3 (1)(AB.7)16 =(10101011. 0111)2=(171.4375)10 (2)(3A.D )16 =(111010. 1101)2=(58.8125)10 (3)(5F.C8)16 =(1011111. 11001)2=(95.78125)10 (4)(2E.9)16 =(101110. 1001)2=(46.5625)10 1-4 (1)真值表 (2)真值表 逻辑函数表达式: 逻辑函数表达式: 1-5 (1)反函数: 对偶函数: (2)反函数: 对偶函数: (3)反函数: 对偶函数: (4)反函数: 对偶函数: AB BC F +++??=ABCD D C AB D C B A D C B A D BC A D C B A CD B A D C B A F +?++?++?+?+???=ABC C A B A A C B A F =?+=+?+=)()(A C B A F +?+=)('C B A C B A B A C B A B A F )()()()(⊕=??+?=?+?+=C B A B A F ?+?+=)()('))()(())((E D B C A C B A F ++?+??+=))()(()(B ++?+?++=))()(())(('E D B C A C B A F ++?+??+=) ()('D C A C B A C A F ++?+++?=D C A ??+?=)()(D C A C B A C A F ++?+++?=

数字逻辑习题答案-毛法尧-第二版

数字逻辑习题答案-毛法尧-第二版

毛法尧第二版 习题一 1.1 把下列不同进制数写成按权展开式: ⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3 ⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4 ⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 ⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3 1.2 完成下列二进制表达式的运算: 1.3 将下列二进制数转换成十进制数、八进制数和十六进制数: ⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10 ⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×

16-2=(0.828125)10 ⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10 1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位: ⑴(29)10=(1D)16=(11101)2=(35)8 ⑵ (0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8 ⑶ (33.333)10=(21.553F7)16=(100001.010101)2=(41.252 37)8

1.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除? 解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除. 1.6 写出下列各数的原码、反码和补码: ⑴0.1011 [0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011] =0.1011 补 ⑵0.0000 [0.000]原=0.0000; [0.0000]反=0.0000; [0.0000]补=0.0000 ⑶-10110 [-10110]原=110110; [-10110]反=101001; [-10110]补=101010 1.7 已知[N]补=1.0110,求[N]原,[N]反和N. 解:由[N]补=1.0110得: [N]反=[N]补-1=1.0101, [N]原=1.1010,N=-0.1010 1.8 用原码、反码和补码完成如下运算:

数字逻辑期末复习题

一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为 ___C_____。 A . D C B A F +++= B . D C B A F +++=

C . D C B A F = D .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 Q 的频率为_____D_____。 . 100KHz D .50KHz 9.下列器件中,属于时序部件的是_____A_____。 A . 计数器 B . 译码器 C . 加法器 D .多路选择器 10.下图是共阴极七段LED 数码管显示译码器框图,若要显示字符“5”,则译码器输出a ~g 应为____C______。 A . 0100100 B .1100011 C . 1011011 D .0011011

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、()8 =( )16 2、 10= ( )2 3、(FF )16= ( 255 )10 4、[X]原=,真值X= ,[X]补 = 。 5、[X]反=,[X]补= 。 6、-9/16的补码为,反码为 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。 4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分)

5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 1 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分 2、分析以下电路,其中X 为控制端,说明电路功能。(10分) 解:XABC C B A X ABC X C B A X C B A X C B A X F ++++?+?= 4分 )()(ABC C B A X C B A X F ++⊕⊕= 4分 所以:X=0 完成判奇功能。 X=1 完成逻辑一致判断功能。 2分

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

《数字逻辑与数字系统》期末考试试题(A)

北京邮电大学2008——2009学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 考试注意事项 一、学生参加考试须带学生证或学院证明,未带者不准进入考场。学生必须按照监考教师指定座位就坐。 二、书本、参考资料、书包等物品一律放到考场指定位置。 三、学生不得另行携带、使用稿纸,要遵守《北京邮电大学考场规则》,有考场违纪或作弊行为者,按相应规定严肃处理。 四、学生必须将答题内容做在试题答卷上,做在草稿纸上一律无效。 五、学生的姓名、班级、学号、班内序号等信息由教材中心统一印制。 考试 课程 数字逻辑与数字系统 考试时间 2009年1月13日 题号 一 二 三 四 五 六 七 八 总分 满分 10 20 10 10 10 12 14 14 得分 阅卷 教师 一、选择题(每小题1分,共10分。) 1. )D C B (B )B A (A F ++++==( ) A . B B . A+B C . 1 D .AB 2.同步时序电路和异步时序电路比较,其差异在于后者( ) A . 没有稳定状态 B . 没有统一的时钟脉冲控制 C . 输入数据是异步的 D . 输出数据是异步的 3.(10000011)8421BCD 的二进制码为( )。 A .( 10000011)2 B .(10100100)2 C . (1010011)2 D . (11001011)2 4. 74LS85为四位二进制数据比较器。如果只进行4位数据比较,那么三个级联输入端ab 、a=b 应为( )。 A . ab 接地,a=b 接地 B . ab 接高电平,a=b 接高电平 C . ab 接高电平,a=b 接地

数字逻辑第四章课后答案..

习题4解答 4-1 试用与非门设计实现函数F(A,B,C,D)=Σm(0,2,5,8,11,13,15)的组合逻辑电路。 解:首先用卡诺图对函数进行化简,然后变换成与非-与非表达式。 化简后的函数 4-2 试用逻辑门设计三变量的奇数判别电路。若输入变量中1的个数为奇数时,输出为1,否则输出为0。 解:本题的函数不能化简,但可以变换成异或表达式,使电路实现最简。 真值表:逻辑函数表达式: C B A C B A C B A C B A Y? ? + ? ? + ? ? + ? ? = C B A⊕ ⊕ =) ( ACD D C B D B A D C B ACD D C B D B A D C B ACD D C B D B A D C B F ? ? ? ? ? ? ? = + + ? ? + ? ? = + + ? ? + ? ? = 逻辑图 B A C D F

4-3 用与非门设计四变量多数表决电路。当输入变量A 、B 、C 、D 有三个或三个以上为1时输出为1,输入为其他状态时输出为0。 解: 真值表: 先用卡诺图化简,然后变换成与非-与非表达式: 逻辑函数表达式: 4-4 用门电路设计一个代码转换电路,输入为4位二进制代码,输出为 4位循环码。 解:首先根据所给问题列出真值表,然后用卡诺图化简逻辑函数,按照化简后的逻辑函数画逻辑图。 ACD BCD ABC ABD ACD BCD ABC ABD ACD BCD ABC ABD Y ???=+++=+++=逻辑图

真值表: 卡诺图化简: 化简后的逻辑函数: Y 1的卡诺图 Y 2的卡诺图 Y 3的卡诺图 Y 4的卡诺图 A Y =1B A B A B A Y ⊕=+=2C B C B C B Y ⊕=+=3D C D C D C Y ⊕=+=4Y Y 逻辑图

数字逻辑试题

本科试卷(十) 一、选择题(每小题2分,共30分) 1.下面逻辑式中,正确的是________。 A . B. C. D. 2.逻辑函数F=A (A B )的值是________。 A . B B. A C .A B D. 3.与最小项表达式F(A,B,C)=m 0+m 2+m 5+m 7等价的逻辑函数为________。 A. F=A ⊙C B. C. D.F=∑(0,5) 4. 、 、 、 、 是五个开关,设它们闭合时为逻辑1,断开时为逻辑0,电灯 F=1时表示灯亮,F=0时表示灯灭。若在五个不同的地方控制同一个电灯的灭亮,逻辑函数F 的表达式是_______。 A . B. C. D. ⊙⊙⊙⊙ 5.用低电平为输出有效的译码器实现组合逻辑电路时,还需要_______。 A .与非门 B.或非门 C.与门 D.或门 6.逻辑函数,当变量的取值为______时,不出现冒险现象。 A .B=C=1 B .B=C=0 C .A=1 ,C=0 D .A=0,B=0 7.集成计数器的模值是固定的,但可以用_______来改变它们的模值。 A.复0和复9 B.置数法和复位法 C.改变初值法 D. 控制CP 脉冲 8.同步时序电路和异步时序电路比较,其差异在于后者_____。 A .没有触发器 B .没有统一的时钟脉冲控制 C .没有稳定状态 D .输出只与内部状态有关 9.有S1,S2两个状态,在相同输入条件下_____,可确定S1和S2不等价。 A .输出相同 B .输出不同 C .状态相同 D .状态不同 10.一个T 触发器,在T=1时,加上时钟脉冲,则触发器_____。 A .保持原态 B .置0 C .置1 D .翻转 11.下面说法错误的是_______。 A .一个RAM 有三组信号线,地址线,数据线,读/写命令线。 B .RAM 中地址线是双向的,它传送地址码,以便按地址码访问存储单元。 C .RAM 中数据线是双向的。 D .RAM 中读写命令线是单向的,它是控制线。 A B A B ⊕= 1A A +=0A A ?=1A A +=⊕⊕⊕A B C B A BC A F +=C A C A F +=1 a 2 a 3 a 4 a 5 a 12345 a a a a a 12345 a a a a a ++++12345 a a a a a ⊕⊕⊕⊕1a 2a 3a 4a 5a F A C AB BC =++

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

数字逻辑课本习题答案

习题五 1. 简述时序逻辑电路与组合逻辑电路的主要区别。 解答 组合逻辑电路:若逻辑电路在任何时刻产生的稳定输出值仅仅取决于该时刻各输入值的组合,而与过去的输入值无关,则称为组合逻辑电路。组合电路具有如下特征: ①由逻辑门电路组成,不包含任何记忆元件; ②信号是单向传输的,不存在任何反馈回路。 时序逻辑电路:若逻辑电路在任何时刻产生的稳定输出信号不仅与电路该时刻的输入信号有关,还与电路过去的输入信号有关,则称为时序逻辑电路。时序逻辑电路具有如下特征: ○1电路由组合电路和存储电路组成,具有对过去输入进行记忆的功能; ○2电路中包含反馈回路,通过反馈使电路功能与“时序”相关; ○3电路的输出由电路当时的输入和状态(过去的输入)共同决定。 2. 作出与表1所示状态表对应的状态图。 表1 状态表 现态y2 y1 次态y2 ( n+1)y1(n+1) /输出Z x2x1=00x2x1=01x2x1=11x2x1=10 A B C D B/0 B/0 C/0 A/0 B/0 C/1 B/0 A/1 A/1 A/0 D/0 C/0 B/0 D/1 A/0 C/0

解答 根据表1所示状态表可作出对应的状态图如图1所示。 图1 3. 已知状态图如图2所示,输入序列为x=,设初始状态为A,求状态和输出响应序列。 图2 解答 状态响应序列:A A B C B B C B 输出响应序列:0 0 0 0 1 0 0 1

4. 分析图3所示逻辑电路。假定电路初始状态为“00”,说明该电路逻辑 功能 。 图 3 解答 ○1 根据电路图可写出输出函数和激励函数表达式为 x K x,J ,x K ,xy J y xy Z 111121 2===== ○2 根据输出函数、激励函数表达式和JK 触发器功能表可作出状态表如表2所示, 状态图如图4所示。 表2 图4 现态 y 2 y 1 次态 y 2( n+1)y 1(n+1)/输出Z x=0 x=1 00 01 10 11 00/0 00/0 00/0 00/0 01/0 11/0 11/0 11/1

文本预览
相关文档 最新文档