当前位置:文档之家› 篮球赛计时计分器课程设计

篮球赛计时计分器课程设计

篮球赛计时计分器课程设计
篮球赛计时计分器课程设计

电子与电气工程学院

课程设计报告

课程名称电子技术课程设计

设计题目计分器

专业名称电子科学与技术

班级 13级 2班

学号2013210825

学生姓名唐前昆

指导教师王欢

2015年06 月02日

目录

第1章系统概述 (1)

1.1 功能简述 (1)

1.2 按钮设置 (1)

第2章总体方案设计 (2)

2.1 系统框图 (2)

2.2 软件总体设计 (2)

第3章系统硬件设计 (3)

3.1 80C51单片机 (3)

3.2 3×4矩阵式键盘 (3)

3.3 8段数码管显示器 (3)

3.4 系统原理图 (3)

第4章软件设计 (4)

4.1 主函数设计 (4)

4.2按键码获取,按键处理函数 (5)

4.3显示子函数 (6)

4.4延时子函数 (7)

第5章系统的安装调试说明 (8)

5.1 软件调试 (8)

5.2 软硬联调 (8)

总结 (9)

参考文献 (10)

附录A 系统原理图 (11)

附录B 源程序清单 (12)

第1章系统概述

1.1 功能简述

本设计内容为比赛计分器,主要用于各种体育比赛记录分数。采用矩阵式键盘作为输入,用户可分别对两队比分进行加1、加2和减1减2操作,其加减1,2分可以通过加减1分、2分的切换按钮实现,并通过指示灯显示其每次按下加减分键所加减的分值。可以实现预置分。比分通过4个8段数码管显示器进行显示,每队比分显示2位,

1.2 按钮设置

计分器应该有7个按键分别标注于原理图,见图1-1。

图1-1按钮功能图

其中1/2分切换由发光二极管指示,加1减1分别对应。预置分是事先设定分数可以分别设定甲乙两队的初始分数。按下清零后,显示的分数清零。

第2章总体方案设计

2.1 系统框图

系统框图,见图2-1。

图2-1 系统框图

本设计用80C51单片机为核心,利用4个8段数码管显示器.采用动态显示输出比分,用户信息输入则采用3×4矩阵式键盘。89C52单片机有32根I/O线,所以不用扩展I/O口。用一片单片机即可满足本设计的输入输出。

2.2 软件总体设计

软件设计主要分为3个部分:信息输入、信息处理、显示输出。主要包括:显示函数,按键获取函数,按键处理函数,进制转换函数。信息输入时采用矩阵式键盘来实现,所以需要检测键盘有无按键的子程序;信息处理需要对用户通过键盘输入的不同信息进行辨别并执行相应的处理;显示输出考虑到成本和电路体积,决定采用动态输出。

第3章系统硬件设计

3.1 80C51单片机

因为我们上课时学的是MCS-51,所以就选择了STC89C52单片机,因为它与INTEI MCS-51 兼容,并且它们都具有相同的CPU和指令系统,引脚功能兼容,容易掌握和使用。

3.2 3×4矩阵式键盘

根据设计共需要7个按键,可采用3×3矩阵式键盘,但考虑到常用键盘为3×4矩阵式键盘,而且方便以后功能的扩展和方便硬件的连接,所以最终决定采用3×4矩阵式键盘作为输入。

3.3.1 数码管显示器数量选择

两个队,每队2位比分,每个显示器只能显示一位,则至少需要采用4个8段数码管显示器。段数码管显示器采用动态显示输出比分。

3.3.2 数码管共阴共阳接法的选择

51单片机I/O口输出高电平时输出的电流很小,数码管不会太亮;因为本设计采用动态显示,单片机I/O口直接接数码管,所以决定采用共阳数码管。

3.3.3 数码管驱动的选择

为了使数码管亮度达到要求,数码管的位选端需要驱动,因为单片机I/O口的高电平输出的电流很小,需要驱动电路驱动,从而增加显示亮度。最简单便宜的驱动就是使用三极管,为低电平时开通,所以选择PNP型三极管。

3. 4系统原理图(见附录)

第4章软件设计

4.1主函数设计

主函数程序代码:

MAIN: MOV NUMA,#0

MOV NUMB,#0 ;分数清零

MOV TEMP,#1 ;起始时的分数加1

MOV P1,#0DFH

ACALL TRAN

M0: ACALL DISP ;调用显示函数

ACALL KEYSCAN ;调用按键获取函数

SJMP M0 ;

首先初始化将储存甲乙两对分数的内存单元清零,起始时TEMP置1,并使LED5点亮,调用进制转换函数,调用显示函数,调用按键获取函数,之后重复调用显示函数,调用按键获取函数.。

函数间的调用关系,见图4-1。

图4-1函数关系调用图

4.2按键获取,按键处理函数

按键获取,按键处理函数流程图,见图4-2。

图4-2按键获取,按键处理函数流程图

说明:由于图纸大小有限,还有B加分键处理,B减分键处理,以及按下无关键时显示不变。未在图纸中表示出来。

4.3显示子程序

本程序是采用动态显示,用这种方法的好处在于每次刷新显示的时间相同,每个数码管显示的时间也相同,这样就可以让数码管清晰而且稳定的显示数据。

显示子程序流程图,见图4-3。

图4-3 显示子程序流程图

4.4 延时子程序

延时子程序就是通过执行一Array些没用但又占用时间的指令的集

合。这个子程序可以用于很多延时

的地方因为它延时的时间可以通

过R7进行改变,所以通用性好,

可以将几个延时子程序合为一个,

只用在调用前给R7赋值,即可根

据用户的需要延时,流程图见图

4-4。

图4-4 延时子程序流程图

第5章系统的安装调试说明

5.1 软件调试

软件调试主要是在仿真软件完成的。在写好源程序,画出原理图之后,在电脑上进行软件仿真。系统原理图附后。

5.2 软硬联调

首先将编译成功程序载入单片机系统开发板。通电后,LED5,电源指示灯点亮,数码管显示“0000”.。对应的“4”键为甲加分按钮,当LED5亮时,每次按下“4”键,甲队分数加1;当LED6亮时,每次按下“4”键,甲队分数加2;当LED7亮时,每次按下“4”键,甲队分数加3;其中LED5,LED6,LED7的点亮与熄灭是通过对应的“1”键来控制,可以通过按“1”键来实现LED5,LED6,LED7之间的切换。对应的“8”键为甲队减分键,当LED5亮时,每次按下“8”键,甲队分数减1;当LED6亮时,每次按下“8”键,甲队分数减2;当LED7亮时,每次按下“4”键,甲队分数减3;“7”键为乙加分键,“B”键为乙队减分键,其实现功能与甲队一样。对应的“0”键为预置分按钮,按下“0”键之后,通过按甲乙队加、减分按钮配合分数切换键实现快速预置分。”RESET”为复位键,按下可以实现复位。数码管显示甲乙队当前分数,复位后,数码管显示“0000”。

总结

这次课程设计虽然只有不到两周的时间,但我受益匪浅。刚开始时觉得自己的课题无处入手,但通过老师的讲解和查询资料,开始有了自己的思路,整理出了总体方案,然后设计出硬件原理图,源程序等。在这次设计中困难最大的就是调试,收获最多的也是调试,因为调试的时候你必须对程序相当的熟悉,对每一条指令相当了解,并且硬件的连接也要清晰的印在脑海里,只有这样你才能让软件和硬件结合在一起,实现预期功能。在编程结束时需要编写END指令,这也是初学者编程时经常忘记的。当然也有值得高兴的地方,我的设计通过加减分键和分数切换键配合实现加减1,2,-1(-2)分只用了3个键,而两队分别设置加减1,2,-1(-2)分就需6个键。我认为这样的设计更科学。

总之,在课程设计中遇到了不少的困难,在老师的细心讲解和辅导下,最终完成了本次设计。在此,由衷感谢王欢老师的指导和帮助。

参考文献

[1] 、《微型计算机原理及应用》许立梓编机械工业出版社 2002

[2]、《微型计算机接口技术及应用》刘乐善编华中理工大学出版社 2000

[3]、《计算机硬件技术基础试验教程》邹逢兴编高等教育出版社 2000

[4]、《16位微型计算机原理接口及其应用》周佩玲编中国科学技术大学出版社2000

[5]、《微型计算机原理与接口技术》吴秀清编中国科学技术出版社 2001

[6]、《微型计算机接口技术》邓亚平编清华大学出版社 2001

[7]、《单片机原理及及应用》王迎旭编机械工业出版社 2001

[8]、《单片机应用程序设计技术》周航慈著北京航空航天大学出版社 2002

[9]、《单片机实用技术问答》谢宜仁主编人民邮电出版社 2002

附录

附录A系统原理图:

附录B

源程序清单:

NUMA EQU 30H

NUMB EQU 31H ;甲乙两队的分数

D1 EQU 32H ;显示缓存区32h—35h

D2 EQU 33H

D3 EQU 34H

D4 EQU 35H

DUAN EQU P0 ;段显示端口

WEI1 EQU P2.4 ;位选端口P2.4---P2.7 WEI2 EQU P2.5

WEI3 EQU P2.6

WEI4 EQU P2.7

TEMP EQU 36H ;存放切换的加减分数1/2/3 KEYDATE EQU 37H ;存放按键值

ORG 0000H

MAIN:MOV NUMA,#0

MOV NUMB,#0 ;分数清零

MOV TEMP,#1 ;起始时的分数加1

MOV P1,#0DFH

ACALL TRAN

M0: ACALL DISP ;调用显示函数

ACALL KEYSCAN ;调用按键获取函数

SJMP M0 ;

;******************************************************** KEYSCAN:MOV P2,#0FFH ;采用反转法读取按键值

MOV P2,#0F0H

MOV A,P2

ANL A,#0F0H

CJNE A,#0F0H,K0

SJMP K4

K0: MOV R5,#5

K1: ACALL DELAY2MS ;10ms延时去抖动

DJNZ R5,K1

MOV A,P2

ANL A,#0F0H

CJNE A,#0F0H,K2

SJMP K4 ;是抖动返回

K2: MOV KEYDATE,A ;存储按键值

MOV P2,#0FH

MOV A,P2

ANL A,#07H

ORL A,KEYDATE ;取得键值存放在KEYDATE中

MOV KEYDATE,A

K3: MOV A,P2

ANL A,#07H

CJNE A,#07H,K3 ;等待按键松开

ACALL CHULI ;调用按键处理函数

ACALL TRAN

K4: RET

;******************************************************** CHULI: MOV A,KEYDATE

CJNE A,#0E6H,C0

MOV NUMA,#0

MOV NUMB,#0

MOV TEMP,#1 ;按下清零键,A,B队分数清零,加分为1

MOV P1,#0DFH

RET

C0: CJNE A,#0D6H,C2

INC TEMP ;按下分值切换键,按一下分值加1, MOV P1,#0BFH

MOV A,TEMP

CJNE A,#3,C01

MOV P1,#7FH

C01: CJNE A,#4,C1

MOV TEMP,#1 ;分值循环1- 2- 3- 1

MOV P1,#0DFH

C1: RET

C2: CJNE A,#0E5H,C4

MOV A,NUMA ;按下A队加分按键,A队加分

ADD A,TEMP ;分值相加

CJNE A,#99,C3 ;

MOV A,#0

C3: MOV NUMA,A

RET

C4: CJNE A,#75H,C6

MOV A,NUMB ;按下B队加分按键,A队加分

ADD A,TEMP ;分值相加

CJNE A,#99,C5 ;

MOV A,#0

C5: MOV NUMB,A

RET

C6: CJNE A,#0E3H,C9

MOV A,NUMA ;按下A队减分按键,A队减分

SUBB A,TEMP ;分值相减

CJNE A,#0FCH,C7

RET

C7: JC C8

MOV A,#99

C8: MOV NUMA,A

RET

C9: CJNE A,#073H,C12

MOV A,NUMB ;按下B队加减按键,A队减分

SUBB A,TEMP ;分值相减

CJNE A,#0FCH,C10

RET

C10: JC C11

MOV A,#99

C11: MOV NUMB,A

C12: RET

;*********************************************************** TRAN: MOV A,NUMA ;进制转换将10进制分数转化成2进制,然后显示 MOV B,#10

DIV AB

MOV D1,A

MOV D2,B

MOV A,NUMB

MOV B,#10

DIV AB

MOV D3,A

MOV D4,B

RET

;*********************************************************** DISP: MOV DPTR,#TAB ;显示函数,

CLR WEI1 ;位选通

MOV A,D1 ;

MOVC A,@A+DPTR ;取段码

MOV DUAN, A ;送段码

ACALL DELAY2MS ;

SETB WEI1 ;关位选

CLR WEI2

MOV A,D2

MOVC A,@A+DPTR

MOV DUAN, A

ACALL DELAY2MS

SETB WEI2

CLR WEI3

MOV A,D3

MOVC A,@A+DPTR

MOV DUAN, A

ACALL DELAY2MS

SETB WEI3

CLR WEI4

MOV A,D4

MOVC A,@A+DPTR

MOV DUAN, A

ACALL DELAY2MS

SETB WEI4

MOV DUAN,#0FFH;

RET

;************************************************************ DELAY2MS:MOV R6,#5

D0: MOV R7,#248

DJNZ R7,$

DJNZ R6,D0

RET

TAB:DB 28H ; 0

DB 0EBH ; 1

DB 32H ; 2

DB 0A2H ; 3

DB 0E1H ; 4

DB 0A4H ; 5

DB 24H ; 6

基于单片机的篮球赛计时记分器设计

篮球赛计时计分器设计 院系自动化学院 专业自动化 班级 学号 姓名 指导教师 负责教师

摘要 体育比赛中的计时计分系统是对体育比赛过程中所产生的时间、比分等数据信息进行快速采集、加工处理、传递和利用的系统。此系统能否清晰、稳定、精确的反应体育比赛中的客观数据,直接影响到比赛的公平性和公正性。本文针对上述情况研制了篮球赛计时计分器。该系统以单片机为核心,利用7段共阴LED作为显示器件。为了调整比赛中的时间和比分,在本设计中设立了8个按键,分别用于记录甲、乙两队的分数,设置赛程时间,调整赛程时间,启动和暂停赛程时间等功能,本系统还设计了定时报警系统。实践证明,该系统精度高、稳定性好、抗干扰性强,具有一定的应用前景。 关键词:计时器;计分器;单片机;LED显示

Abstract The system of time and score recorded in the sporting games is the one like this: the data about time and socres that generated in the process of the games can be collected rapidly, processed, transmited and be used. If the system can reflect the objective data in the games clearly, stably and accurately, it will affect the fairness and impartiality of the games. According to this, calculagraph and scores recorder of the basketball games are researched and designed in this paper. The core of the system is the MCU, using seven segments LED as the display. In order to adjusting the time and the scores of the game, eight keys are used in this paper. The functions of these keys are: recording the scores of the team A and B, setting up or adjusting the time of the game, and starting or suspending the time of the game. The timing alarm system is also designed in the system. Experiments , good stability, strong anti-interference and it prospects. Key words: calculagraph;scores recorder; MCU; LED display

篮球比赛数字计分器

学年论文 (课程论文、课程设计) 题目:篮球比赛数字计分器 作者: 所在学院:信息科学与工程学院 专业年级:电信09-1 指导教师: 职称:讲师 2011年6月25日

摘要: 数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。根据数字集成电路中包含的门电路或元、器件数量,可将数字集成电路分为小规模集成(SSI)电路、中规模集成MSI电路、大规模集成(LSI)电路、超大规模集成VLSI电路和特大规模集成(ULSI)电路。 应用的仿真工具Multisim是美国国家仪器(NI)有限公司推出的以Windows 为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 对应篮球比赛规则记分的系统的要求,篮球记分有1分、2分和3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使得计数器对分数进行统计,这需要三个脉冲分路。电路要具有加分、减分及显示的功能。综合应用数字电路初步设计,Multisim仿真和DXP的初步应用 关键词: 篮球计分器设计Multisim仿真DXP 引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

单片机课程设计报告-篮球计时计分器

2010 ~ 2011 学年第 2 学期 《单片机应用系统设计与制作》 课程设计报告 教学院(部)电气与电子信息工程学院 教研室电气自动化 指导教师 课程设计时间 2011.5.30~2011.6.10 课程设计班级电气自动化技术2009(*)班 学号 2009******** 姓名张 * *

单片机应用系统设计与制作课程设计成绩评定表 课程设计题目:篮球计时记分器 课程设计答辩或质疑记录: 1、 2、 成绩评定依据: 成绩评定依据: 课程设计考勤情况(20%): 课程设计答辩情况(30%): 完成设计任务及报告规范性(50%): 最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 2011 年 6 月20 日

摘要 篮球计时计分器以单片机为核心,由计时器、计分器、综合控制器等组成。系统采用模块化设计,主体分为计时显示模块、计分显示模块、定时报警、按键控制键盘模块。每个模块的程序结构简单、任务明确,易于编写、调试和修改。编程后利用Keil软件来进行编译,再将生成的HEX文件装入芯片中,采用Proteus软件仿真,检验功能是否能够正常实现,本设计中系统硬件电路主要由以下几个部分组成:单片机AT89C52、计时电路、计分电路、报警电路和按键开关。该系统具有赛程定时设置、赛程时间暂停、及时刷新甲乙双方的成绩以及赛后成绩暂存等功能。 关键词:单片机,计时,计分,显示器,接口 ABSTRACT Time basketball scoring device as the core of SCM includes the timer, scoring devices, integrated controller and other components.This system is used of the modular design, in which the main display module is divided into time display module, scoring display module, timing alarm module, and key control keyboard module. Program structure of each module is simple and clear. So it is easy to write, debug and modify. After programming, firstly we can use Keil software to compile and then generate the HEX file into the chip. Secondly we use the Proteus software simulation to test whether the normal function to achieve. The design of hardware circuit mainly consists of the five components, including AT89C52, timing circuit, scoring circuit, alarm circuit and key switch circuit.The system has many features,such as setting the schedule time, scheduling time to pause, refreshing result of both parties timely, storing temporarily results after the match and so on. KEY WORDS:Microcontroller, Timing, Scoring, Display, Interface

基于单片机的篮球计时计分器

基于单片机的篮球计时 计分器 集团文件版本号:(M928-T898-M248-WU2669-I2896-DQ586-M1988)

目录

摘要 篮球比赛计分器的设计是为了解决篮球比赛时计分与计时准确方便和灵活适用的问题而提出的,我组设计的篮球比赛计分器硬件部分主要利用AT89S52单片机完成了计分与计时的功能,并通过两个四位七段数码管分别用来显示比赛时间和甲、乙比赛双方的分数,软件部分利用Keil C51软件来进行编译,通过Proteus软件进行仿真,最后将生成的HEX文件烧入到单片机芯片中。采用该系统可根据实际情况进行时间的准确显示和比分修改,具有低功耗、可靠性强、安全性高以及低成本等特点,主要不足之处在于计时显示部分有时会出现显示不稳定的情况,基本满足了本次设计要求。 关键词:单片机;篮球赛计分;篮球赛计时;数码管 Abstract Basketball match score indicator is designed to solve the basketball game scoring and timing is accurate, convenient and flexible applicable problem, and that my 14-year-old basketball game hardware part of the group design mainly USES AT89S52 MCU to complete the scoring and timing functions, and through the two four seven segment digital tube is used to display the match time and party a and b both sides score, software part use Keil C51 software to compile, through the Proteus software simulation, finally will generate burn-in HEX file to the MCU chip. Using the system can according to the actual situation to the accurate display and modify the score of time, with low power consumption, high reliability, safety and low cost etc., the main shortcoming in the timer display part can appear sometimes unstable situation, basic meet the requirement of the design. Key words: single chip microcomputer; The basketball game scoring; The basketball game timing; Digital tube

篮球计时器-篮球24秒计时器

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

(完整版)篮球赛计时计分器开题报告

华东交通大学理工学院 本科生毕业设计 开题报告 题目:嵌入式篮球赛计分屏的设计 分院:电气与信息工程学院 专业: 班级: 学号: 姓名: 指导教师: 填表日期:2012 年 2 月10 日

目录 一、选题的依据及意义 (2) 1.1选题的依据 (2) 1.2选题的意义 (2) 二、国内外研究现状及发展趋势 (3) 三、本课题研究内容 (4) 四、本课题研究方案 (5) 4.1.硬件设计方案 (5) 4.2.软件设计方案 (6) 五、研究目标、主要特色及工作进度 (8) 六、参考文献 (9) 七、指导老师意见 (10)

一、选题的依据及意义 1.1 选题的依据 进入21世纪,伴随着电子,信息通信技术的应用与普及开发,人们对电子技术的要求也越来越高。目前嵌入式单片机渗透到我们生活的各个领域,按照历史性、本质性、普遍性要求,嵌入式系统应定义为:“嵌入到对象体系中的专用计算机系统”。对于嵌入式即可分软件部分和硬件部分。以MCU为核心,就是各种各样的单片机,是嵌入式硬件部分的实现方式之一,它主要是因为把处理器和存储器等部件集成在一块芯片上。 在探索单片机的发展道路时,有过两种模式,即“Σ模式”与“创新模式”。“Σ模式”将通用计算机系统中的基本单元进行裁剪后,集成在一个芯片上,构成单片微型计算机;“创新模式”则完全按嵌入式应用要求设计全新的,满足嵌入式应用要求的体系结构、微处理器、指令系统、总线方式、管理模式等。Intel公司的MCS-48、MCS-51就是按照创新模式发展起来的单片形态的嵌入式系统。MCS-51是在MCS-48探索基础上,进行全面完善的嵌入式系统,成为单片嵌入式系统的典型结构体系。 体育比赛计时计分器是对体育比赛过程中所产生的时间、比分等数据进行快速采集记录、加工处理、传递利用的工具。篮球比赛的计时计分器是一种得分类型的工具。它由计时器等、计分器等多种电子设备组成。 虽然篮球比赛中很早就开始研究应用了电子计分器,但通常都是利用模拟电子器件、数字电子器件或是模拟、数字混合组成的,其稳定性和高准确度计分仍存在一些问题。以单片机为核心的篮球比赛计分器,计分准确,具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点。 1.2 选题的意义 通过此次基于嵌入式单片机设计的篮球计分屏,可以更清楚地了解单片机程序设计的基本指令功能、编程步骤和技巧,了解AT89C51单片机的结构和原理,了解基于嵌入式单片机的相关外围电路的设计和调试过程,有助于今后的学习和工作生活。

基于单片机的篮球计时计分器设计

基于单片机的篮球计时计分器设计 摘要 随着科技的日益发展进步,单片机技术已经被广泛应用在科研、工作等重要领域内,目前也已经普及到我们的日常生活中,扮演着十分重要的角色。本次设计是基于单片机的篮球计分器,以基本篮球规则为依据,设计结构简单且方便操作,对篮球比赛实现实时控制。 此次设计的计分器总共包括四个模块,他们是24秒显示、计时器、记分器、计节器。实现的功能是:24秒显示能按键控制24秒计时器,当控球时间超过24秒时,可发出报警信号。计时器可按键调节,可以随时暂停计时,暂停比赛,在每节结束和全场结束时报警。记分器记录两队的积累分数,可通过按键分别加1、2、3分。 设计分为硬件设计和软件设计。硬件设计的主要包括的是:LED数码管显示、按键控制系统设计以及辅助功能的设计。软件设计包括:功能选择、倒计时的实现。本文主要介绍了用单片机设计实现篮球计分器的整个流程,采用C语言编写程序。设计引用MAX7219专用LED驱动芯片,解决了多位LED数码管同时显示的问题。其中时间显示和比分显示部分由两组共阴极LED数码管显示。时间显示部分可以以分为单位进行计时调整。比分显示部分可以实现在半场结束后手动换场,两个显示模块均采用动态扫描方式进行显示。按键控制部分采用独立按键,共设八个按键,实现调整分数、调整时间、比赛的开始/暂停、复位键和交换场地键等功能。报警部分由蜂鸣器加三级管驱动,实现了发出按键声音和结束报警的功能。 采用单片机控制使这个系统按键操作使用简单,安装方便。解决了篮球比赛计数器的安装问题,节约了线材,适合在各种规模的体育场馆使用,完全可以代替传统的用钟表进行计时的方法,当然稍加改动也可以用于其他球类比赛,是体育器材向智能化发展的一个实例。 关键词:STC89C51单片机;温度控制;恒温箱;DS18B20

完整版篮球赛计时计分器开题报告

华东交通大学理工学院 本科生毕业设计开题报告 题目:嵌入式篮球赛计分屏的设计 分院:电气与信息工程学院 专业:

班级: 学号: 姓名: 指导教师: 填表日期:2012 年 2 月10 日 目录 一、选题的依据及意义 (2) 1.1选题的依据 (2) 1.2选题的意义 (2) 二、国内外研究现状及发展趋势 (3) 三、本课题研究内容 (4) 四、本课题研究方案 (5) 4.1.硬件设计方案 (5) 4.2.软件设计方案 (6) 五、研究目标、主要特色及工作进度 (8) 六、参考文献 (9)

七、指导老师意见 (10) - 1 - 一、选题的依据及意义 1.1 选题的依据 进入21世纪,伴随着电子,信息通信技术的应用与普及开发,人们对电子技术的要求也越来越高。目前嵌入式单片机渗透到我们生活的各个领域,按照历史性、本质性、普遍性要求,嵌入式系统应定义为:“嵌入到对象体系中的专用计算机系统”。对于嵌入式即可分软件部分和硬件部分。以MCU为核心,就是各种各样的单片机,是嵌入式硬件部分的实现方式之一,它主要是因为把处理器和存储器等部件集成在一块芯片上。 在探索单片机的发展道路时,有过两种模式,即“Σ模式”与“创新模式”。“Σ模式”将通用计算机系统中的基本单元进行裁剪后,集成在一个芯片上,构成单片微型计算机;“创新模式”则完全按嵌入式应用要求设计全新的,满足嵌入式应用要求的体系结构、微处理器、指令系统、总线方式、管理模式等。Intel公司的MCS-48、MCS-51就是按照创新模式发展起来的单片形态的嵌入式系统。MCS-51是在MCS-48探索基础上,进行全面完善的嵌入式系统,成为单片嵌入式系统的典型结构体系。 体育比赛计时计分器是对体育比赛过程中所产生的时间、比分等数据进行快速采集记录、加工处理、传递利用的工具。篮球比赛的计时计分器是一种得分类型的工具。它由计时器等、计分器等多种电子设备组成。 虽然篮球比赛中很早就开始研究应用了电子计分器,但通常都是利用模拟电子器

基于某单片机地篮球赛计时计分器单片机期末课程设计

目录 第1节引言 (1) 1.1本设计的任务和主要容 (1) 第2节系统主要硬件电路设计 (2) 2.1 单片机控制原理 (2) 2.2 单片机主机系统电路 (3) 2.2.1计时电路 (4) 2.2.2计分电路 (5) 第3节系统的软件设计 (6) 3.1 篮球赛计时计分器程序源代码 (6) 3.2篮球赛计时计分器程序流程图 (16) 结束语 (17) 参考文献 (18)

基于单片机的篮球计时计分器 第1节引言 随着单片机载各个领域的广泛应用,许多用单片机作控制的球赛计时计分器系统也应运产生,如用单片机控制LCD液晶显示器计时计分器,用单片机控制LED七段显示器计时计分器等。 本文介绍一种有AT89C51编程控制LED七段数码管作显示的球赛计时计分系统。本系统具有赛程定时设置、赛程时间暂停、及时刷新甲、乙队双方的成绩以及赛后成绩暂存等功能。它具有价格低廉、性能稳定、操作方便且易携带等特点。广泛适合各类学校和小团体作为赛程计时计分。 1.1本设计的任务和主要容 这次课程设计的主要任务是:设计制作一个用于赛场的篮球赛计时计分器。 主要容如下: ①能记录整个赛程的比赛时间,并能修改比赛时间、暂停比赛时间。 ②能随时刷新甲、乙两队在整个赛程中的比分。 ③中场交换比赛场地时,能交换甲、乙两队比分的位置。 ④比赛时间结束时,能发出报警指令。

第2节系统主要硬件电路设计2.1 单片机控制原理 图2-1 球赛计时计分器系统图

2.2 单片机主机系统电路 本系统采用单片机AT89C51作为本设计的核心元件。利用7段共阴LED作为显示器件。在此设计中共接入10个7段共阴LED显示器,其中6个用于记录甲、乙两队的分数每队3个LED显示器显示分数围可达到0-999分,足够满足赛程需要。另外4个LED显示器则用来记录赛程的时间,其中2个用于分钟;2个用于显示秒钟。赛程设计采用倒计时方式。即比赛前将时间设置好,比赛开始启动计时,直至计时到零为止。计时围可达到0~99分钟也满足实际赛程的需要。 其次,为了配合计时器和计分器校正、调整时间和比分,特定在本设计中设立了7个按键。其中4个用于输入甲、乙两队的分数;另外3个则用于完成设置、调整、启动和暂停赛程时间等功能。 最后,还这几了定时报警系统,即比赛时间到,我们立即通过扬声器发出报警声,提示整个赛程结束。

2020年篮球计分器开题报告

篮球计分器开题报告 篮球计分是篮球比赛的准备基础,关于它的设计也能影响到篮球的比赛。 石河子大学 毕业论文开题报告 课题名称:基于单片机的便携式篮球计分计时系统设计 学生姓名:朱宝军 学号:学院:机械电气工程学院 专业、年级:电气工程及其自动化12级2班 指导教师:张晓海 职称:副教授 毕业论文(设计)起止时间: 目录 1课题名称及 (1) 2研究的目的意义及国内外研究现状 (1) 2.1选题的目的及意义 (1)

2.2国内外研究现状 (1) 3课题的研究内容、目标及可行性分析 (2) 3.1研究内容 (2) 3.2研究思路和方法 (2) 3.3研究目标 (2) 3.4可行性分析 (3) 4关键问题和难点分析 (3) 4.1课题研究的关键问题 (3)

4.2课题研究的难点分析 (3) 4.3进度计划 (3) 参考文献 (4) 1课题名称及: 名称:基于单片机的便携式篮球计分计时系统设计 :老师推荐 2研究的目的、意义和国内外研究现状: 2.1选题的目的及意义 进入21世纪,伴随着电子,信息通信技术的应用与普及开发,人们对电子技术的要求也越来越高。目前嵌入式单片机渗透到我们生活的各个领域,按照历史性、本质性、普遍性要求,嵌入式系统应定义为:“嵌入到对象体系中的专用计算机系统”。对于嵌入式即可分软件部分和硬件部分。以MCU为核心,就是各种各样的单片机,是嵌入式硬件部分的实现方式之一,它主要是因为把处理器和存储器等部件集成在一块芯片上。 在探索单片机的发展道路时,有过两种模式,即“Σ模式”与“创新模式”。“Σ模式”将通用计算机系统中的基本单元进行裁剪

篮球竞赛24秒计时器设计-

数字电子技术课程设计说明书 篮球竞赛24秒计时器设计 系、部:电气与信息工程学院 学生姓名: 指导教师:职称讲师 专业:电气 班级:电气 完成时间: 2012-6-6

前言

电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

目录 第1章电路方案的选择及电路框图 (5) 设计方案 (5) 电路框图 (5) 第2章设计思路及其工作原理的介绍 (6) 设计思路 (6) 基本原理 (6) 第3章单元电路的设计 (8) 24进制计数器的设计 (8) 数码显示电路的设计 (9) 秒脉冲的设计 (11) 控制开关电路的设计 (13) 报警电路的设计 (13) 整机工作原理 (14) 第4章电路仿真 (15) 计时预备阶段 (15) 计时阶段 (16) 暂停\连续功能的实现 (17) 电路报警 (18) 第5章安装及调试步骤 (19) 第6章故障分析与电路改进 (20) 故障的分析和解决 (20) 电路的改进 (20) 结束语 (21) 参考文献 (22)

基于51单片机的篮球计时计分器

《计算机系统与接口技术》课程设计报告 题目:篮球记时记分器 姓名: 学号: 班级: 专业:电子信息科学与技术 信电学院 2010年12月24日

徐州工程学院信电学院课程设计任务书2010-2011学年第1学期

徐州工程学院课程设计 摘要 本设计是采用AT89S51单片机为核心设计的一个用于赛场的篮球计时计分器。本设计采用定时器T0中断计时,显示部分分为计时和计分显示两部分,均采用共阴极LED显示。计时部分可以调整分钟,足以满足一般赛程需要。两个显示模块均采用动态扫描方式显示。 在本设计中P0(P0.1—P0.6)口是时间和分数的段码数据输出口,P2口是时间和分数的位选数据输出口,本设计共有九个设置按键,add1、add2是加分键(add1按一次加一分,add2按一次加二分),minus1是减分键(按一下减一分),exchange是分数位置交换键,bstop是关蜂鸣器键,start是开始计时键键(按键按下开始倒计时),stopagain是时间暂停键,turn是切换键(用于加分键的切换),timeadd是时间设定键。按键与P1口相接,低电平输入有效。报警部分由有蜂鸣器及其驱动电路组成。定时时间到,扬声器报警,比赛结束,按bstop 键可停止报警(否则一直报警)。本次设计的篮球计时计分器具有以下的功能:(1)能记录整个赛程的比赛时间,并能修改比赛时间。 (2)能随时刷新甲、乙两队在整个比赛过程中的比分。 (3)中场交换比赛场地时,能交换甲、乙两队比分的位置。 (4)比赛结束时,能发出报警声。 (5)可随时接受暂停请求。

计算机原理与接口技术 目录 1 概述 (3) 1.1 单片机简介 (3) 1.2 课程设计的意义 (4) 1.3 任务与要求 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案设计 (5) 2.2 硬件系统设计 (5) 2.2.1 单片机选择 (5) 2.2.2 时钟电路模块 (6) 2.2.3 复位电路模块 (7) 2.2.4按键控制键盘模块 (7) 2.2.5 显示模块和定时报警模块 (8) 3.1 软件总体设计方案 (10) 3.2 程序模块设计 (10) 4 PROTEUS软件仿真 (12) 5 课程设计体会 (14) 参考文献 (14) 附1 源程序代码 (15)

篮球计时计分器设计

摘要 单片机发展极为迅速,自从问世以来就以极高的性价比受到人们的关注,由于它体积小,环境适应性好,价格低,易开发,所以在各个领域都得到了推广。广泛应用于智能仪器仪表、工业控制、家用电器、计算机网络、医疗设备、汽车设备等领域中。当前世界上各大芯片公司都推出了自己的单片机,从8位、16位到32位等,但它们各具特色,互成互补,为单片机的应用提供了广阔的天地。 本次设计就是采用单片机AT89C51编程控制 7段共阴LED数码管作显示的篮球比赛计时计分系统。在体育比赛的计时计分系统中包括测量类、评分类、命中类、制胜类、得分类等多种类型。而篮球比赛时根据运动队在规定时间内得分多少来决定胜负的,因此篮球比赛的计时计分器是一种得分类型的系统。本系统采用模块化设计,主体部分可分为计时显示模块、计分显示模块、定时报警模块、按键控制模块。利用Keil C51软件进行编程,程序编写完成后通过编译生成HEX文件装入AT89C51芯片中,采用仿真软件Proteus检验功能是否能够正常实现。最后采用Protel DXP画出电路原理图并生成印刷电路板图。本系统由计时电路、计分电路、报警电路和控制电路四个电路组成。 篮球比赛计时计分器的主要功能有赛程时间设置、赛程时间启动/停止设置、比分交换控制和比分刷新控制等。它具有很高的灵活性,同时操作步骤也很简单且具有低功耗,可靠性,安全性以及低成本等特点。 关键词:单片机;篮球比赛计时计分器;AT89C51

Abstract SCM develops rapidly since its inception to the high price people pay attention, because of its small size, adaptability to environment, low price, easy to develop, so in all fields have been promoted. Widely used in smart instrumentation, industrial control, home appliances, computer networks, medical equipment, automotive equipment, and other areas. Currently the world's major chip companies have launched their own microcontroller, from the 8-bit, 16-32, etc., but they are distinctive, each into a complementary application for the microcontroller provide a vast world。 The design is controlled by microcontroller AT89C51 programming 7-segment common cathode LED digital display for time basketball scoring system. Timing in sports including measurement category scoring system, evaluation categories, hit category, winning class, a segment other types. The basketball game sports teams based on points within the specified time to be decided by how much, so the timing basketball game scoring device is a type of scoring system. The system is modular in design, the main part of the display module can be divided into time, scoring display module, timing alarm module, key control module. Using Keil C51 software programming, programming is complete compiled HEX file is loaded by AT89C51 chip, using simulation software Proteus test feature is achieved correctly. Finally draw the circuit diagram Protel DXP and generate printed circuit board diagram. The system consists of timing circuitry, scoring circuits, alarm circuits and control circuits of four circuit。 Basketball time scoring device main functions of time schedule set, schedules, time to start / stop settings, scores and scores exchange control refresh control. It has high flexibility, while the steps are

篮球计时计分器系统设计报告

篮球计时计分系统 设计报告 电信131 荣根电信132 卓壮浩

目录 1.系统设计要求及设计思路 2.硬件电路设计 2.1主控电路 2.2按键控制模块 2.3显示模块 2.4定时报警模块 3.软件开发流程及代码分析 4.实验总结

引言 ?篮球计时计分器硬件电路设计 ?篮球计时计分器软件设计 篮球计时计分器系统以AT89C51单片机为核心,系统显示部分包括 计时显示和计分显示两部分,均采用共阳极LED动态扫描方式显 示。系统采用单片机定时器TO中断计时,计时围宽,可进行定 时设定,也可以调整小时、分钟、秒等值;计分部分调整灵活,显 示围宽,足以满足各种规糢赛程需要。

1. 系统设计要求及设计思路 1.系统设计要求 为实现篮球计时计分器系统的设计,本系统应该满足以下几点 要求: ?能设置整个赛程的比赛时间,在比赛过程中能根据需要暂停和开始计时。 ?能根据比赛情况刷新比赛双方的比分。 ?中场交换比赛场地时,能交换甲、乙两队比分的位置。 ?比赛结束时,能发出报警声。 2.系统设计思路 根据设计任务与要求,设计思路如下: 系统主要功能包括记分和计时两部分,能实现两队比分的加分、减分、汁时以及其他多种显示效果;系统控制模块有:2位动态显示记分模块、4位动态显示计时模块、两队加分减分模块、按键查 询模块、分秒控制模块等。 具体实现过程:釆用7段LED数码管动态显示整个赛程的比赛时间和两队的比分情况。通过 4个按键和外部中断0实现输入功能,用于赛前时间调整、比赛过程比分纪录、时间启停以及比赛结束以后的声音报警等功能。

2.硬件电路设计 根据设计要求与设计思路,确定该系统的设计方案,图6-1为该系统设计方案的硬件电路设计框图。硬件电路主要由4部分组成:主控电路、按键控制电路、显示模块和定时报警模块。下 面对其进行一一介绍。

篮球赛计时计分器课程设计

电子与电气工程学院 课程设计报告 课程名称电子技术课程设计 设计题目计分器 专业名称电子科学与技术 班级 13级 2班 学号2013210825 学生姓名唐前昆 指导教师王欢 2015年06 月02日

目录 第1章系统概述 (1) 1.1 功能简述 (1) 1.2 按钮设置 (1) 第2章总体方案设计 (2) 2.1 系统框图 (2) 2.2 软件总体设计 (2) 第3章系统硬件设计 (3) 3.1 80C51单片机 (3) 3.2 3×4矩阵式键盘 (3) 3.3 8段数码管显示器 (3) 3.4 系统原理图 (3) 第4章软件设计 (4) 4.1 主函数设计 (4) 4.2按键码获取,按键处理函数 (5) 4.3显示子函数 (6) 4.4延时子函数 (7) 第5章系统的安装调试说明 (8) 5.1 软件调试 (8) 5.2 软硬联调 (8) 总结 (9) 参考文献 (10) 附录A 系统原理图 (11) 附录B 源程序清单 (12)

第1章系统概述 1.1 功能简述 本设计内容为比赛计分器,主要用于各种体育比赛记录分数。采用矩阵式键盘作为输入,用户可分别对两队比分进行加1、加2和减1减2操作,其加减1,2分可以通过加减1分、2分的切换按钮实现,并通过指示灯显示其每次按下加减分键所加减的分值。可以实现预置分。比分通过4个8段数码管显示器进行显示,每队比分显示2位, 1.2 按钮设置 计分器应该有7个按键分别标注于原理图,见图1-1。 图1-1按钮功能图 其中1/2分切换由发光二极管指示,加1减1分别对应。预置分是事先设定分数可以分别设定甲乙两队的初始分数。按下清零后,显示的分数清零。

单片机C51篮球计时计分器课程设计

篮球赛计时计分器 一设计目的 设计并制作一个用于赛场的篮球赛计时计分器,实现如下基本功能: (1)能记录整个赛程的比赛时间,并能修改比赛时间,暂停比赛时间。 (2)能随时刷新甲,乙两队在整个赛程中的比分。 (3)中场交换场地时,能交换甲,乙两队比分的位置。 (4)比赛时间结束时,能发出报警指令。 二设计意义 通过篮球计分计时器的制作,可以使我熟悉,了解单片机开发设计实例的过程,并能使读者加深对单片机的理解和运用以及掌握单片机与外围接口的一些方法和技巧,这主要表现在以下的一些方面: (1)篮球计分计时器包含了8051系列单片机的最小应用系统的构成,同时在此基础上扩展了一些实用性强的外围接口。 (2)掌握键盘接口原理,能正确地把键盘使用到单片机系统中,可以了解到LED显示器的结构,工作原理以及这种显示器的接口实例。 (3)学会调试电路,分析电路故障,积累电路调试经验。 三具体设计内容 1 系统框图构成 基于单片机系统的篮球计分计时器的系统构成框图如下所示:

2硬件电路改进: 由于原来的电路比较复杂,用了很多芯片,浪费成本,而且给后期调试的调试会带来较多麻烦,故对原来的硬件电路进行了改动。改动方面主要关于数码管显示的驱动和位选的,前期的电路设计采用了大量的CD4511和CD4094来进行数码管显示的驱动和位选,而这次的电路设计只用了8个三极管就实现了这项功能。 下面前期电路设计的计时部分的原理图,还有更为复杂的计分原理图未给出 后期改进的整体原理图:

(3)软件调试及组装 软件的编程调试首先要抓住计分和计时两大模块,在这两大模块成功的基础上再进行其他细小模块的组装和完善。主要编程的问题,所以就概述就举例子概述一下 计分模块和计时模块刚开始均会出现显示数值范围不正确或者十位和个位二者之中只显示个位的情况,经软件调试,重新编程后成功的解决了问题。 I 上半场比赛结束,可按下交换比分按钮,交换甲乙两队的比分,重新调整计时时间为20分钟,按下启动按钮,下半场比赛开始

#篮球比赛计时计分器的毕业设计

毕业设计(论文) 篮球比赛计时计分器的设计The design of basketball game time and scoring 班级电气自动化092班 学生姓名杨杰学号 930706036 指导教师周天沛职称讲师 导师单位徐州工业职业技术学院 论文提交日期 2011年11月18日

徐州工业职业技术学院 毕业设计(论文)任务书 课题名称篮球比赛计时计分器的设计课题性质设计制作类 班级电气自动化092班 学生姓名杨杰 学号 930706036 指导教师周天沛 导师职称讲师

一. 选题意义及背景 篮球比赛是根据运动队在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的计时计分系统是一种得分类型的系统。篮球比赛的计时计分系统由计时器,计分器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛计时计分系统设备应能够与现场成绩处理,现场大屏幕,电视转播车等多种设备相联,以便实现高比赛现场感,表演娱乐观众等功能目标。 二. 毕业设计(论文)主要内容: 任务:设计一个用于赛场的篮球计时计分器。 要求:1、能记录整个赛程的比赛时间,并能修改比赛时间。 2、能随时刷新甲、乙两队在整个过程中的比分。 3、比赛结束时,能发出报警声。 其中硬件部分包括键盘和显示器接口电路的设计。软件部分利用单片机编程软件编写程序,并利用protest软件进行调试,完成毕业论文。 预期成果为设计实物一件,提交毕业设计论文一篇。 三.计划进度: 第8 周查阅资料、选型对比调研,初步确定控制电路的总体设计。 第8-10 周完成硬件线路设计及元器件的选型。 第11 周单片机软件程序的编写。 第12 周调试系统达到设计要求,并完成毕业论文。 第13 周答辩。 四.毕业设计(论文)结束应提交的材料: 1、论文一篇(8000字以上) 2、实物一件 指导教师教研室主任 年月日年月日

相关主题
文本预览
相关文档 最新文档