当前位置:文档之家› 基于FPGA的基带信号的位同步信号提取(附程序)【毕业设计论文】

基于FPGA的基带信号的位同步信号提取(附程序)【毕业设计论文】

xxxx学院

毕业设计论文

题目:基于单片机和FPGA的位同步信号提取专业班级:电子信息工程

学生姓名:学号:

完成日期:

指导教师:

评阅教师:

2006 年6月

湖南工程学院应用技术学院毕业设计(论文)

诚信承诺书

本人慎重承诺和声明:所撰写的《基于单片机和FPGA的位同步信号提取》是在指导老师的指导下自主完成,文中所有引文或引用数据、图表均已注解说明来源,本人愿意为由此引起的后果承担责任。

设计(论文)的研究成果归属学校所有。

学生(签名)

年月日

湖南工程学院应用技术学院

毕业设计(论文)任务书

设计(论文)题目:基于单片机和FPGA的位同步信号提取

姓名专业电子信息工程班级 0281 学号 16

指导老师刘正青职称实验师教研室主任

刘望军

一、基本任务及要求:

本课题是设计一具有通用性的输入信号的位同步提取系统,系统可以实现10HZ~1MHZ

的信号同步。使用单片机进行实时控制现场可编程逻辑门阵列FPGA完成位同步信号提取,

通过理论和实验研究,完成硬件电路和软件设计并试制样机,要求完成:

1、单片机实时控制FPGA,完成实时频率跟踪测量和自动锁相;

2、在FPGA 内部,设计完成以下部分:

A、全数字锁相环DPLL,主要包含:数控振荡器、鉴相器、可控模分频

B、LED动态扫描电路、FPGA和单片机的数字接口,以完成两者之间的

数字传递

3、设计辅助电路:键盘、LED;

二、进度安排及完成时间:

(1)第二周至第四周:查阅资料、撰写文献综述和开题报告;

(2)第五周至第六周:毕业实习;

(3)第六周至第七周:项目设计的总体框架:各个模块以及各个模块之间的关

系确定,各个模块的方案选择与各个模块的所用主要器件的确定;

(4)第八周至第十三周:各个模块的主要器件熟悉及相关知识的熟悉;各个模

块的具体任务实现:硬件电路、软件编程;

(5)第十四周至第十五周:系统的总体仿真与调试

(6)第十六周至第十七周:撰写设计说明书;

(7)第十八周:毕业设计答辩;

目录

摘要........................................................................................................ 错误!未定义书签。Abstract................................................................................................... 错误!未定义书签。引言...................................................................................................... 错误!未定义书签。第1章绪论........................................................................................ 错误!未定义书签。

1.1 位同步技术当前的发展........................................................... 错误!未定义书签。

1.2 EDA简介 (3)

1.3 8051型单片机........................................................................ 错误!未定义书签。

1.4 FPGA器件简介...................................................................... 错误!未定义书签。

1.4.1 FPGA器件的发展....................................................... 错误!未定义书签。

1.4.2 FPGA器件的结构....................................................... 错误!未定义书签。

1.4.3 Altera器件及EPM7064 .............................................. 错误!未定义书签。

1.5 FPGA开发过程简介.............................................................. 错误!未定义书签。

1.6 C语言 ..................................................................................... 错误!未定义书签。

1.7 VerilogHDL ............................................................................. 错误!未定义书签。

1.8 MAX+PLUS II 概述.............................................................. 错误!未定义书签。第2章系统组成结构.......................................................................... 错误!未定义书签。

2.1 单片机模块............................................................................... 错误!未定义书签。

2.2 键盘模块................................................................................... 错误!未定义书签。

2.3 测频、输出显示模块............................................................... 错误!未定义书签。

2.4 数字锁相环(DPLL)模块 .......................................................... 错误!未定义书签。第3章各模块的具体设计及实现...................................................... 错误!未定义书签。

3.1 单片机模块的设计与实现....................................................... 错误!未定义书签。

3.2 键盘模块的设计与实现........................................................... 错误!未定义书签。

3.2.1 设计中问题和解决方法................................................ 错误!未定义书签。

3.2.2 键盘设计的软件设计.................................................... 错误!未定义书签。

3.3 测频、输出显示模块的设计与实现....................................... 错误!未定义书签。

3.3.1 测频部分........................................................................ 错误!未定义书签。

3.3.1.1 测频电路的设计实现......................................... 错误!未定义书签。

3.3.1.2 测频模块的软件设计......................................... 错误!未定义书签。

3.3.2 显示部分........................................................................ 错误!未定义书签。

3.3.2.1 7448芯片介绍..................................................... 错误!未定义书签。

3.4 锁相环模块的设计与实现............................................................... 错误!未定义书签。

3.4.1 鉴相器的选择................................................................ 错误!未定义书签。

3.4.2 可编程K可逆计数器的设计....................................... 错误!未定义书签。

3.4.3 I/D线路的设计............................................................ 错误!未定义书签。

3.4.4 可编程N分频器的设计............................................... 错误!未定义书签。第4章位同步信号提取系统整体测试.............................................. 错误!未定义书签。

4.1 锁相环的仿真与测试............................................................... 错误!未定义书签。

4.2 单片机软件的测试................................................................... 错误!未定义书签。

4.3 系统的整体测试....................................................................... 错误!未定义书签。参考文献.................................................................................................. 错误!未定义书签。致谢...................................................................................................... 错误!未定义书签。附录A 单片机软件设计程序 ................................................................ 错误!未定义书签。附录B FPGA芯片内部模块的Verilog HDL设计............................ 错误!未定义书签。

基于单片机和FPGA的位同步信号提取

摘要:本文所设计的位同步系统是使用单片机进行实时控制现场可编程门阵列(FPGA)进行同步的,在此设计中,本文主要做了以下内容:

1、单片机实时控制FPGA完成实时频率跟踪测量和自动锁相。

2、在FPGA内部,设计有以下两部分:

a、全数字锁相环(DPLL),主要包括数控振荡器、鉴相器、可控模N分频器。

b、LED动态扫描电路、FPGA和单片机的数据接口,以完成两者之间的数据传

递。

3、设计辅助电路:键盘、LED显示、信号源等。

4、整体测试表明:系统可以实现10Hz到1MHz的信号同步,键盘及显示电路工作正常。

关键字:单片机、电子设计自动化(EDA)、Verilog HDL、数字锁相环

According To The MCU And FPGA The Bit

Synchronous Signal Withdraw

ABSTRACT:This text for designing the synchronous system is an usage MCU to control the Fileld Programmable Gate Array(FPGA) really.This design primarily completes missions as below.

1、The MCU complete solid hour measure frequency follow with auto lock the phase.

2、In the FPGA inner part ,the design have below two parts:

a.T he Digital Phase Locked Loop(DPLL),primarly include Digital Controled Oscillatory,Pahse Detector,N Divider.

b.The LED Dynamicly scan and display circuit,Interface circuit between MCU and FPGA.

3、Design assistant electric circuit:The keyboard,LED shows,signal source etc.

4、The whole test enunciation:the system can realize 10Hz to 1MHz signal is

synchronous,keyboard electric circuit and manifestation electric circuit work is normal.KEYWORDS:MCU EDA Verilog HDL DPLL

引言

在科学飞速发展的今天,世界已进入了数字化、信息化的时代。其中,通信技术的发展最为迅速。从第一代模拟移动通信,到今年即将运营的3G移动通信,仅仅数年的时间。与此同时,(微)电子技术也在以很快的速度进步着,利用FPGA作原型设计及前期样品生产的作法将会逐渐流行起来。通过使用FPGA/CPLD,可得到降低成本和上市时间快等的好处。数据通信的应用需求量很大,我国路由器产品采用FPGA/CPLD起了很大作用。伴随着微电子工业的发展,今天的FPGA已经可以做系统级芯片了。技术的融合,使得各种科学技术不再独立,而是联合应用在一起,发挥更大的功效。

本课题的目的是设计出一个具有通用性的输入信号的位同步提取系统,系统可以实现10Hz~1MHz的信号同步,使用单片机进行实时控制现场可编程逻辑门阵列FPGA完成对同步信号的提取。该系统以FPGA器件作为控制的核心,配合单片机使整个系统显得尤为精简,能达到所要求的技术指标,具有灵活的现场更改性,还有高速、精确、可靠、抗干扰性强等优点。最重要的一点便是它能实现对不同的位同步信号进行提取,能够满足本课题的要求。

第1章绪论

同步是通信系统中一个重要的实际问题。在通信系统中,同步具有相当重要的地位。通信系统能否有效地、可靠地工作,很大程度上依赖于有无良好的同步系统。当采用同步解调或相干检测时,接收端需要提供一个与发射端调制载波同步同频同相的相干载波。获得这个相干载波的过程称为载波提取,或称为载波同步。数字通信中,除了有载波同步的问题之外,还有位同步的问题。

由于本次设计主要是在现场可编程门阵列(Field Programmable Gate Array)技术为基础来完成的,所以还在概念上介绍了FPGA的发展、芯片结构、8051型单片机、以及简单介绍了FPGA的开发过程,EDA的使用和开发语言C语言和Verilog HDL。

1.1 位同步技术当前的发展

数字通信中一个很重要的问题就是位同步问题,因为消息是一串连续的信号码元序列,解调时必须知道每个码元的起止时刻。因此,接收端必须产生一个时钟用作定时脉冲序列,它和接收的每一个码元的起止时刻一一对齐。我们把在接收端产生于接收码元的重复频率和相位一致的定时脉冲序列的过程称为码元同步或位同步,而称这个定时脉冲序列为码元同步脉冲或位同步脉冲。

数字通信中的消息数字流总是用若干码元组成一个“字”,又用若干“字”组成一“句”。因此,在接收这些数字流时,同样也必须知道这些“字”、“句”的起止时刻,在接收端产生与“字”、“句”起止时刻相一致的定时脉冲序列,统称为群同步或帧同步。

当通信是在两点之间进行时,完成了载波同步、位同步和帧同步之后,接收端不仅获得了相干载波,而且通信双方的时标关系也解决了,这时,接收端就能以较低的错误概率恢复除数字信息。然而,随着数字通信的发展,特别是计算机通信及计算网络的发展,通信系统也由点对点的通信发展到多点间的通信,显然,为了保证通信网内各用户之间可靠的进行数据交换,还必须实现网同步,即在通信网内由一个统一的时间节拍标准。

同步系统的性能的降低,会直接导致通信系统性能的降低,甚至使通信系统不能工作。可以说,在同步通信系统中,“同步”是消息传输的前提,正是因为如此,为了保证消息的可靠传输,要求同步系统应有更高的可靠性。

1.2 EDA简介

电子设计自动化(EDA)技术研究的对象是电子设计的全过程,有系统级、电路级和物理级各个层次的设计:涉及的电子系统从低频、高频到微波,从线性到非线性,从模拟到数字。从通用集成电路到专用集成电路构造的电子系统,因此EDA技术研究的范畴相当广泛。

在电子设计技术领域,可编程逻辑器件的应用,已有了很好的普及,这些器件为数字系统的设计带来极大的灵活性。由于该器件可以通过软件编程而对其硬件的结构和工作方式进行重构,使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程、乃至设计观念。纵观可编程逻辑器件的发展史,它在结构原理、集成规模、下载方式、逻辑设计手段等方面的每一次进步都为现代电子设计技术的革命与发展提供了不可或缺的强大动力。随着可编程逻辑器件集成规模不断扩大,自身功能的不断完善和计算机辅助设计技术的提高,在现代电子系统设计领域中的EDA便应运而生了。传统的数字电路设计模式,如利用卡诺图等逻辑化简手段以及难懂的布尔方程表达方式和相应的TTL或4000系列夸集成规模芯片的堆砌技术正在迅速地退出历史舞台。

电子设计自动化(EDA)是一种实现电子系统或电子产品自动化设计的技术。它与电子技术、微电子技术的发展密切相关。它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,是20世纪90年代初从CAD(计算机辅助设计Computer Aided Design)、CAM(计算机辅助制造Computer Aided Manufacture)、CAT(计算机辅助测试Computer Aided Test)和CAE(计算机辅助工程Computer Aided Education)的概念发展而来的。EDA技术就是以计算机为工具,在EDA软件平台上。根据硬件描述语言HDL完成的设计文件。自动地完成逻辑编译、化简、分割、综合及优化、布局线、仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述,在EDA工具的帮助下和应用相应的FPGA/CPLD器件,就可以得到最后的设计结果。尽管,目标系统是硬件.但整个设计和修改过程如同完成软件设计一样方便和高效。当然,这里的所谓EDA主要是指数字系统的自动化设计,因为这一领域的软硬件方面的技术已比较成熟,应用的普及程度也已比较高。而模拟电子系统的EDA正在进入实用,其初期的EDA工具不一定需要硬件描述语言。此外,从应用的广度和深度来说。由于电子信息领域的全面数字化,基于EDA的数字系统的设计技术具有更大的应用市场和更紧迫的需求性。

EDA的实现是与CPLD/FPGA技术的迅速发展息息相关的。CPLD/FPGA是80年代中后期出现的,其特点是具有用户可编程的特性。利用CPLD/FPGA,电子系统

设计工程师可以在实验室中设计出专用IC,实现系统的集成,从而大大缩短了产品开发、上市的时间,降低了开发成本。此外,CPLD/FPGA还具有静态可重复编程或在线动态重构的特性,使硬件的动能可以像软件一样通过编程来修改,不仅使设计修改和产品升级变得十分方便,而且极大地提高了电子系统的灵活性和通用能力。

1.3 8051型单片机

8051片内有4KROM,无须外接存储器和373,更能体现“单片”的简练。8051单片机的片内结构如图1.1所示。如果按功能划分,它由8个部件组成,即微处理器(CPU)、数据存储器(RAM)、程序存储器(ROM)、I/O口(P0口、P1口、P2口、P3口)、串行口、定时器/计数器、中断系统及特殊功能寄存器(SFR)。它们都是通过片内单一总线连接而成,其基本结构依然是采用CPU加上外围芯片的传统结构模式。但对各功能部件的控制是采用特殊功能寄存器(SFR)的集中控制方式。

图1.1 8051单片机的片内结构

1.4 FPGA器件简介

1.4.1 FPGA器件的发展

FPGA器件(Field Programmable Gate Array)----用户现场可编程门阵列集成电路是20世纪80年代中期出现的一种新概念,是倍受现代数字系统设计工程师欢迎的最新一代系统设计积木块。由于半导体技术的飞跃发展,数字系统应用经历了分立元件、小规模集成电路(SSI)、中规模集成电路(MSI)和大规模集成电路(LSI)及超大规模集成电路(VLSI)的发展过程,数字系统应用的基本特征也由中小规模集成度的标准通用集成电路向用户定制的专用集成电路(ASIC)过渡。20世纪80年代出现了可编程逻辑器件(PLD),

在一定程度上,为数字系统设计工程师进行快捷、灵活的设计提供了可能性,PLD器件的应用使一系列功能强、速度高、灵活性大的积木式系统设计得以成功。但是,随着现代数字系统设计的发展,PLD器件无论在集成容量、功耗、速度还是逻辑设计的灵活性上,均不能满足现代数字系统的大容量、高速度、现场灵活可编程设计的要求。这类器件集成度还是达不到非常高,内部资源和I/O管脚也不够多,在进行大型系统设计时,使用此类器件就显得不够理想了。FPGA器件的产生将半定制的门阵列电路的优点和可编程逻辑器件的用户可编程特性结合在一起,使其不仅包含大量的门电路,具有高速度,使设计的电子产品达到小型化、集成化和高可靠性,而且器件具有用户可编程特性,大大缩短了设计周期,减少了设计费用,降低了设计风险。进人90年代后,随着半导体技术的发展,构造许多电子系统仅仅需要现场可编程门阵列。FPGA是最新一代的可编程逻辑器件,它为系统设计人员提供了一条研制开发大型系统的有效捷径,FPGA正在被看作是电路器件和电路设计上的一场革命。

FPGA单片中的等效逻辑门一般有几千甚至多达2万,I/O引脚多达200以上,密度极大。用几十片PAL、GAL或几百片标准数字集成电路搭成的系统用一片FPGA就可以实现。FPGA的结构灵活,它的逻辑单元、互连资源和I/O单元都可以由用户编程,现任何逻辑功能,满足各种设计要求。

FPGA的开发工具十分先进。在用FPGA进行系统设计时,用户所做的工作仅仅是用计算机绘制出系统的电路原理图,其余的工作都可以由开发系统来自动完成。多数FPGA都既能重复编程,又可以重复使用,还可在开发系统中直接进行仿真。所以,使用FPGA没有前期投资风险,也没有工艺实现中的损耗。FPGA的特点是速度快,功耗低,通用性好,适应性强,它不仅可以代替传统的数字集成电路,而且还可以代替一般的PLD器件和半定制的ASIC,特别适用于复杂系统的设计。使用FPGA可以非常大的减小硬件规模,降低设计成本,缩短设计周期,提高系统的可靠性、灵活性和保密性。

FPGA已经成功地应用于计算机硬件、工业控制、遥感遥测、雷达声纳、数据处理、智能仪表、广播电视和医疗电子等多种领域中。在现代通信中,FPGA已经成功地用作程控交换、数字复接、压缩扩张、编码译码和调制解调等。

1.4.2 FPGA器件的结构

FPGA现场可编程门阵列器件通常由布线资源围绕的可编程单元(或宏单元)构成阵列,又可由可编程I/O单元围绕阵列构成整个芯片,如图1.2所示。排成阵列的逻辑单元由布线主道中的可编程连线连接起来实现一定的逻辑功能。一个FPGA可能包含有静态存储单元,它们允许内部的模式在器件被制造以后再被加载或修改。

图1.2 FPGA基本结构

FPGA是由掩膜可编程门阵列和可编程逻辑器件演变而来的,将它们的特性结合在一起,使得FPGA既有门阵列的高逻辑密度和通用性,又有可编程逻辑器件的用户可编程特性。目前FPGA的逻辑功能块在规模和实现逻辑功能的能力上存在很大差别。有的逻辑功能块规模十分小,仅含有只能实现倒相器的两个晶体管;而有的逻辑功能块规模比较大,可以实现任何五变量输入逻辑函数的查找表结构。据此可把FPGA分为两大类,即细粒度(fine-grain)和粗粒度(coarse-grain)。细粒度逻辑块是与半定制门阵列的基本单元相同,它由可编程互连来连接少数晶体管组成,规模都较小,主要优点是可用的功能块可以完全被利用;缺点是采用它通常需要大量的连线和可编程开关,使相对速度变慢。由于近年来工艺不断改进,芯片集成度不断提高,加上引入硬件描述语言(HDL)的设计方法,不少厂家开发出了具有更细粒度结构的FPGA。例如,XILINX公司采用Micro Via技术的一次编程反熔丝结构的XC8100系列就是逻辑功能块规模较小,细粒度结构的FPGA。而粗粒度FPGA功能块规模较大并且功能较强。从构成它的可编程逻辑和可编程互连资源来看,主要有两类逻辑块的构造。其一是查找表类型;其二是多路开关类型,由此形成两种FPGA的结构。

第一种是具有可编程内连线的通道型门阵列。它采用分段互连线,利用不同长度的多种金属线经传输管将各种逻辑单元连接起来。布线延时是累加的、可变的,并且与通道有关。

第二种是具有类似PLD可编程块阵列的固定内连布线,采用连续互连线,利用相同长度的金属线实现逻辑单元之间的互连,布线延时是固定的,并且可预测。

1.4.3 Altera器件及EPM7064

高密度PLDs在当今的半导体工业中可谓是飞速发展。公司在提供解决方案的领域一直处于领先地位。Altera器件具有良好性能、极高的密度和非常大的灵活性,除了具有一般PLDs的特点之外,还具有这些优点:先进的处理技术、性能高、逻辑集成密度高、性价比高、开发周期短和在线可编程等。Altera公司生产的器件主要有Classic系列、MAX系列、Cyclone系列、Stratix系列、APEX系列、ACEX系列以及FLEX 10K系列。

MAX系列器件采用高性能的EPROM工艺实现了多阵列矩阵体系结构。内部主要有以下组成部分:

逻辑阵列块(logic array blocks)

宏单元(macrocells)

扩展乘积项(expanded product terms)

可编程互联阵列(programmable interconnect array)

控制块(I/O control blocks)

MAX 7000芯片包含有4个专用的输入管脚,可以用来作为普通的输入管脚或者是作为每一个宏单元和I/O管脚的高速、全局控制信号(时钟、清零和2个输出使能)。如图 1.3所示为EPM7064器件的结构。4个专用管脚分别为:INPUT/GCLKl,INPUT/GCLRn,INPUT/OEln和INPUT/OE2n。每一个逻辑阵列块包含有16个宏单元,宏单元之间通过可编程互联阵列传递信号,专用输入管脚和逻辑阵列块以及可编程互联阵列相连接。

图1.3 EPM7064器件的结构

1.5 FPGA 开发过程简介

1、设计过程

设计前期:将用户要求转换为用于设计的技术规范;

设计过程:软/硬件划分、电路设计与软件开发、系统仿真、可靠性分析、制造和生

产、系统测试。

设计后期:为系统软件和硬件的测试生产测试程序和测试矢量。

2、设计方法:

层次设计方法:系统级、寄存器传输级、门级、电路级和器件级。

Bottom-up Design Method 。

Up-down Design Method----高级综合方法。

3、FPGA 开发流程如图1.4所示:

图1.4 FPGA 开发流程 设计输入 设计编

译 设计验证 编程及

硬件测试

布尔方程输入 真值表输入 硬件描述语言输

图形输入

波形输入

状态输入 设计器件匹配

设计划分

设计规范检查 时序分析 多芯片模拟

时序模拟

功能模拟

1.6 C语言

C语言是当今世界最流行的语言之一,它集计算机语言的优点于一身,成为具有较强生命力的程序设计语言。

C语言有如下特点:

1.是处于汇编语言和高级语言之间的一种语言。C语言较靠近硬件与系统,与汇编语言较为接近。C语言既有面向硬件和系统,像汇编语言那样可以直接访问硬件的功能。又有高级语言面向用户、容易记忆、方便阅读和书写的优点。

2.是一种可以进行结构化程序设计的程序语言,即可以用顺序、选择和循环三种基本结构实现程序的逻辑结构。C语言具有诸如if-else、switch-case、for、do-while、while 等结构化语句,十分便于采用自顶向下、逐步细化的结构化程序设计技术。因此,用C 语言编制的程序,具有易于理解、便于维护的优点。

3.使用方便、灵活,可以使程序简洁、紧凑。C语言只有32个标准的关键字、45个标准的运算符以及9种控制语句。

4.运算符十分丰富,除一般语言使用的加、减、乘、除、取余、取反等算术运算及与、或、非逻辑运算功能外,还可以实现以二进制位(bit)为单位的位与、或、非、异或以及移位等位运算和单项运算等复合运算功能。除了具有基本的数据类型外还具有多种构造数据类型,因此,C语言具有较强的数据处理能力。

5.允许直接访问物理地址,能实现二进制位操作。能实现汇编语言的很多功能,可以直接对硬件进行操作,这为编写系统软件提供了便利。

1.7 VerilogHDL

Verilog HDL的特点是易学易用,如果有C语言的编程经验,可以在一个较短的时间内很快的学习和掌握。它是由GDA(Gateway DesignAutomation)公司的PlulMoorby在1983年末首创的,最初只设计了一个仿真与验证工具,之后又陆续开发了相关的故障模拟与时序分析工具。1985年Moorby推出它的第三个商用仿真器Verilog-XL,获得了巨大的成功,从而使得Verilog HDL迅速得到推广应用。1989年CADENCE公司收购了GDA公司,使得VerilogHDL成为了该公司的独家专利。1990年CADENCE公司公开发表了VerilogHDL,并成立LVI组织以促进Verilog HDL成为IEEE标准,即IEEE Standard 1364-1995。

1.8 MAX+PLUS II 概述

MAX+PLUSII是Altera提供的FPGA/CPLD开发集成环境,MAX+PLUSII提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。在MAX + PLUS II软件提供的设计环境中可以完成设计输入、设计编译、设计仿真和器件编程四个设计阶段。在设计输入阶段,用户可以采用图形输入、文本输入和波形输入三种方式输入设计文件,但波形输入方式只能在工程设计的底层使用。在设计编译阶段,MAX + PLUS II编译器依据设计输入文件自动生成用于器件编程、波形仿真及延时分析等所需的数据文件。在设计仿真阶段,MAX + PLUS II仿真器和时延分析器利用编译器产生的数据文件自动完成逻辑功能仿真和时延特性仿真。并且可以在设计文件中加载不同的激励,观察中间结果以及输出波形。必要时,可以返回设计输入阶段,修改设计输入,达到设计要求。在器件编程阶段,MAX + PLUS II编程器将编译器生成的编程文件下载到Altera器件实现对器件编程。此后,可以将实际信号送入该器件进行时序验证。因为CPLD/FPGA芯片能够可重复编程,所以如果动态时序验证的结果不能满足用户的需要时,用户可以返回到设计阶段重新设计,然后重复上面的步骤,最终达到设计要求。图1.5中所示的是标准的EDA开发流程。

图1.5 MAX+PLUSII设计流程

第2章系统组成结构

位同步信号的提取系统,是对输入信号进行测量,进而对其跟踪、捕捉,最后将其显示的数字系统。系统的组成如图所示:

图2.1 系统组成框图

2.1 单片机模块

在此模块中,单片机主要起到扫描键盘、控制测频模块输出显示及控制锁相环的功能。其中,扫描键盘的功能是:单片机通过扫描键盘,确定按键,然后根据不同的按键指令执行相应的功能,从而实现了人工对系统的控制。控制测频模块输出显示的功能是:单片机根据按键的指示,如果在自动等待状态,“确认”后,单片机控制测频部分,进行对输入信号的频率测量,然后将测量结果以十进制显示出来;若已知信号频率,便可根据显示结果来判断系统的同步性。

2.2键盘模块

键盘是本系统中人工控制的重要模块。无论是编码键盘还是非编码键盘,都必须具备去抖动、防串键、按键识别和产生键码的功能。功能具体描述如下:去抖动:利用软件延时,待抖动消失后按键。

防串键:利用轮回技术,按顺序依次产生相应的键码。

按键识别:由程序对键盘进行扫描,通过检测列输出状态确定闭合键。输入口输出口各设置一个。

产生键码:当时别到有键按下,通过行扫描码和烈返回码得到此码。不同键码实现不同功能。

本次设计所使用的是4 4键盘,如图2.2所示:

图2.2 4?4键盘

各键功能如表2.1所示:

表2.1 4?4键盘按键功能表属性键名功能功能描述

控制键MOD 功能选择任何状态下使用

FSEL 选择输入信号频率187.5KHz 350KHz 46875Hz CP4 RST 复位重新开始

CLR 清除输入错误,可以清除

ENT 确认

在自动状态下,开始同步;在人工状态下,输入数

据后,确认开始

数字键0~9: 输入数字

在人工输入等待状态下,用于输入待同步信号的

频率,其它状态下不可使用

本次设计为节约成本,选择非编码键盘。

2.3 测频、输出显示模块

为了设计一个具有通用性的位同步系统,就应当在锁相之前,知道输入信号的频率,这个功能应由系统自动完成。因此,需加入测频模块。在数字锁相环中,N分频器的分频决定了其中心频率,它是通过输入信号的频率经过计算得到的,对锁相环的稳定有很重要的作用。因此,此模块应具备下述功能:

第一,单片机发出清零脉冲,关闭输入与门,使输入信号与单片机T1脚断开。

第二,单片机发出启动脉冲,开启输入与门,使输入信号与T1脚接通。

第三,单片机定时结束,封锁输入与门。

为了显示出输入信号的频率,就得加入显示模块。在此,我采用动态显示,因为动

态显示比静态显示有成本低等优点。动态显示的特点是,所有数码管占用同一个通道,每一时刻只亮一个管,在不同的时刻,依次选中数码管,从而实现动态扫描的目的。由于人眼有视觉误差的特点,扫描速度到达一定程度是,人眼就会出现数码管全亮的错觉。

2.4 数字锁相环(DPLL)模块

随着数字电路技术的发展,尤其是大规模集成电路及微处理机的广泛应用,使得通信与控制方面一些复杂的、灵敏的信号处理方法能在数字域付诸实施。锁相环相干数字通信系统中的关键部件,为了与数字系统兼容,吸收数字电路固有的可靠性高、体积小、价格低等优点,人们在发展模拟锁相环的同时,亦致力于发展数字锁相环。数字锁相环除具有数字电路的优点外,还解决了若干模拟环遇到的难题,如直流零点漂移、部件饱和、必须进行初始校准等,此外还具有离散样值的实时处理能力。这些都表明,数字锁相环的发展势必然的。锁相环是一个相位反馈控制系统,在数字锁相环中,由于误差控制信号是离散的数字信号而不是模拟电压,因而受控的输出相位的改变是离散的而不是连续的;此外,环路组成部件也全用数字电路实现,故而这种锁相环就称之为全数字锁相环(简称DPLL )。当然,还有一类锁相环,部分环路部件为数字电路,但是环路控制仍是模拟形式,这类锁相环只能是部分数字环。

全数字锁相环组成如图2.3所示。它由数字鉴相器、数字滤波器与数字压控振荡器三个数字电路部件组成。(其中,数控振荡器由脉冲加减电路和N 分频器构成)

图2.3 数字锁相环的组成

数字鉴相器

DPD

环路滤波器

DLF

数控振荡器 DCO

(完整版)FPGA温度测量设计毕业设计

毕业论文FPGA温度测量设计

摘要 温度作为一种最基本的环境参数,与人民的生活有着密切关系。温度的测量和控制在工业、农业、国防、医疗等各个领域中应用普遍。温度测量仪是一种常用的检测仪器。 本文首先介绍了DS18B20的工作原理,利用数字温度传感器DS18B20的数据接口特点,展示了FPGA(Field-Programmable Gate Array,即现场可编程逻辑门阵列)的使用方法以及Verilog HDL (HDL:Hardware Discription Language)语言的编程,完成了基本温度测量功能。给出了硬件电路和软件设计,此设备具有结构简单、转换速度快、精确性高,扩展性好等优点。 关键词:FPGA;DS18B20;测温;Verilog HDL语言

Design of temperature measurement based on FPGA Abstract Tenperture is one of the most basic environmental parameters, and it industry, agriculture, national defense,medical and other fields, temperature measurement and control was widely used.The temperature measuring instrument is a kind of common testing instrument. In this paper,first we introduces the work principle of DS18B20,and the characteristics of data interface of digital temperature sensor DS18B20, demonstrated Language)programming language,accomplished the function of temperature measurement. Given the .The device . Key Words: FPGA;DS18B20;Temperature measurement;Verilog HDL language 目录 中文摘要 (1) 英文摘要 (2) 1绪论 (1) 1.1题目背景意义 (1) 1.2工作内容 (2)

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

基于单片机的毕业设计题目

单片机类 业设计 刷电子时钟的设计 刷全自动节水灌溉系统--硬件部 刷数 式温度计的设计 刷温度 控系统设计 刷基于单片机的语音提示测温系统的研究 刷简易无线电遥控系统 刷数 流 计 刷基于单片机的全自动洗衣机 刷水塔智能水 控 系统 刷温度箱模拟控 系统 刷超声波测距仪的设计 刷基于51单片机的L司号点阵显示屏系统的设计与实 16×16点阵显示屏 刷基于A切89分51单片机的数 电子时钟 刷基于单片机的步 电机的控 刷基于单片机的交流调 器设计 刷基于单片机的数 电压表的设计 刷单片机的数 钟设计 刷智能散热器控 器的设计 刷单片机打铃系统设计 刷基于单片机的交通信 灯控 电路设计 刷基于单片机的电话 程控 家用电器系统设计 刷基于单片机的安全 警器 刷基于单片机的 路抢答器设计 刷基于单片机的超声波测距系统的设计 刷基于MC分-51数 温度表的设计 刷电子体温计的设计 刷基于A切89C51的电话 程控 系统 刷基于A三R单片机幅度 调的号号分信 发生器 刷基于单片机的数控稳压电源的设计 刷基于单片机的室内一氧化碳 测及 警系统的研究 刷基于单片机的空调温度控 器设计 刷基于单片机的 编程多 能电子定时器 刷单片机的数 温度计设计 刷红外遥控密码锁的设计 刷基于61单片机的语音识别系统设计 刷家用 燃气体 警器的设计 刷基于数 温度计的多点温度检测系统 刷基于凌 单片机的语音实时采集系统设计 刷基于单片机的数 频率计的设计 刷基于单片机的数 电子钟设计 刷设施 境中温度测 电路设计 刷汽车倒车 撞 警器的设计 刷篮球赛计时记 器

刷基于单片机的家用智能总线式开关设计 刷设施 境中湿度检测电路设计 刷基于单片机的音乐合成器设计 刷设施 境中二氧化碳检测电路设计 刷基于单片机的水温控 系统设计 刷基于单片机的数 温度计的设计 刷基于单片机的火灾 警器 刷基于单片机的红外遥控开关设计 刷基于单片机的电子钟设计 刷基于单片机的红外遥控电子密码锁 刷大棚温湿度自动 控系统 刷基于单片机的电器遥控器的设计 刷单片机的语音 储与 放的研究 刷基于单片机的电 热炉温度控 系统设计 刷红外遥控电源开关 刷基于单片机的 频信 发生器设计 刷基于单片机的呼叫系统的设计 刷基于PIC16F876A单片机的超声波测距仪 刷基于单片机的密码锁设计 刷单片机步 电机转速控 器的设计 刷由A切89C51控 的太 能热水器 刷 盗与恒温系统的设计与 作 刷A切89分52单片机实验系统的开发与 用 刷基于单片机控 的数 气压计的设计与实 刷智能压力传感器系统设计 刷智能定时器 刷基于单片机的智能火灾 警系统 刷基于单片机的电子式转速 程表的设计 刷 交车汉 显示系统 刷单片机数 电压表的设计 刷精密三F转换器与MC分-51单片机的接口技术 刷基于单片机的居室安全 警系统设计 刷基于89C2051 IC卡读/写器的设计 刷PC机与单片机串行通信设计 刷球赛计时计 器设计 刷 系列PCL五层电 控 系统设计 刷自动起闭光控窗帘设计 刷单片机控 交通灯系统设计 刷基于单片机的电子密码锁 刷基于51单片机的多路温度采集控 系统 刷点阵电子显示屏-- 业设计 刷超声波测距仪-- 业设计 刷单片机对玩 小车的智能控 业设计论文 刷基于单片机控 的电机交流调速 业设计论文

fpga毕业设计开题报告.doc

fpga毕业设计开题报告 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。以下是fpga毕业设计,欢迎阅读。 1选题目的意义和可行性 在这个时间就是金钱的年代里,数字电子钟已成为人们生活中的必需品。目前应用的数字钟不仅可以实现对年、月、日、时、分、秒的数字显示,还能实现对电子钟所在地点的温度显示和智能闹钟功能,广泛应用于车站、医院、机场、码头、厕所等公共场所的时间显示。随着现场可编程门阵列( field program-mable gate array ,FPGA) 的出现,电子系统向集成化、大规模和高速度等方向发展的趋势更加明显,作为可编程的集成度较高的ASIC,可在芯片级实现任意数字逻辑电路,从而可以简化硬件电路,提高系统工作速度,缩短产品研发周期。故利用FPGA这一新的技术手段来研究电子钟有重要的现实意义。设计采用FPGA现场可编程技术,运用自顶向下的设计思想设计电子钟。避免了硬件电路的焊接与调试,而且由于FPGA的I /O 端口丰富,内部逻辑可随意更改,使得数字电子钟的实现较为方便。本课题使用Cyclone EP1C6Q240的FPGA器件,完成实现一个可以计时的数字时钟。该系统具有显示时、分、秒,智能闹钟,按键实现校准时钟,整点报时等功能。满足人们得到精确时间以及时间提醒的需求,方便人们生活。 2 研究的基本内容与拟解决的主要问题 2.1研究的基本内容 数字时钟是采用电子电路实现对时间进行数字显示的计时

装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度不断提高。 数字时钟系统的实现有很多,可以利用VerilogDHL语言在Quartus II里实现时、分、秒计数的功能。在芯片内部存储器设24个字节分别存放时钟的时、分、秒信息。数字时钟首先是秒位(共8位)上按照系统时钟CLK进行计数,存储器内相应的秒值加1;若秒位的值达到60(110000),则将其清零,并将相应的分位(共8位)的值加1;若分值达到60(110000),则清零分位,并将时位(共8位)的值加1;若计数满24(100100)后整个系统从0开始重新进行计数。 本设计使用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能显示时,分,秒,以及通过按键实现校准时钟主要功能,使用LED液晶屏显示,分别显示时,分,秒。并且能够实现附加功能----闹铃设置功能和整点报时。 2.2 拟要解决的问题 本设计电子钟系统功能简单,用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能。 本课题主要解决以下问题: (1) 学习VerilogDHL语言、运用Quartus II环境进行程序设计。 用VerilogDHL语言能进行综合的电路设计,也可用于电路的仿真;设计的 规模是任意的,语言不对设计规模施加任何限制;内置各种基本的逻辑门。便于改进和扩充,有利于本系统的研制,并使其性能更完备的。

大学生毕业论文(设计)要求

大学生毕业论文(设计)要求 毕业论文(设计)的主要内容应包括文献综述、任务提出、方案论证、设计思想、设计计算、实验结果、技术分析、结论等。实验研究类的题目要有相应的系统结构图,毕业论文(设计)的基本要求要符合学校本科生毕业论文(设计)的撰写规范。 学生完成毕业论文(设计)书面材料包括: 1.题目:应能概括整个论文最重要的内容,恰当、简明、引人注目。题目应力求简短,一般不宜超过30字。需要中英文。 2.中文摘要:论文第1页为内容摘要,约300字左右。应说明工作目的、研究方法、成果和结论。要突出本论文的创造性成果或新的见解,语言力求精练。为了便于文献检索,应在本页下方另起一行注明本文的关键词(3至5个)。3.英文摘要:论文第2页为英文摘要。上方应有题目,内容与中文摘要相同。4.目录:应是论文的提纲,也是论文组成部分的小标题。目录应独立成页,包括论文的全部页码。 5.前言:在论文的开头,一般要概括地写出作者意图,说明选题的目的及意义,指出论文写作的范围。 6.正文:是学位论文的主体,着重反映论文研究工作范畴,研究方法。在正文中应将调查、研究中所得的材料和数据进行加工整理和分析研究,提出论点,要突出创新。正文一般可包括以下几个方面: (1)研究内容 (2)研究方法(实验方法) (3)结果 (4)讨论 正文要求论点正确,推理严谨,数据可靠,文字精练,条理分明。 7.参考文献:只列主要的及公开发表过的,按中文引用的顺序附于文末。8.致谢:对给予各类资助、指导和协助完成研究工作以及提供各种对论文工作有利条件的单位及个人表示感谢。致谢应实事求是。 9.学位论文完成后,在最后加上指导教师评语、论文评阅人评语、答辩委员会意见。

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array 基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

江苏大学毕业设计及论文基本要求

本科毕业设计要求: 1、英文文献翻译,文献的原文由老师提供,要求对英文文献中的题目、摘要、正文、图表 名称进行原意翻译,文献中的作者、公式、图表以及参考文献不需要翻译。翻译时不可通过翻译工具进行全文翻译,仅能使用翻译工具进行初步翻译再针对原文意思进行修改,必须保证译文具有一定的可读性和准确性。建议:通读全文,了解一定意思之后再进行翻译,专业词汇无法准确翻译,推荐使用CNKI翻译助手,网址为https://www.doczj.com/doc/434384737.html,/。 2、综述或读书笔记:即经过广泛阅读毕业设计相关资料、书籍和文献之后,针对毕业设计 内容的背景、发展现状、主要技术及应用、理论基础等做相应总结,撰写出一份综述或读书笔记。必须在最后给出阅读的参考文献,同样,内容的编排需要具备一定的可读性和准确性。要求篇幅8-10页。 3、任务书:由老师下达,学生提交正确的专业、班级和姓名。 4、针对毕业设计题目,进行一定的仿真、硬件设计或实验验证,每一个毕业设计必须要有 相应的结果,或是仿真模型和仿真波形结果,或者硬件系统设计原理图PCB,或者最终的实验平台搭建和实验结果,或者完成相应的软件代码编写,根据各自的题目,在毕业完成最后必须具有一定的结果呈出。 5、所有的英文文献翻译、综述以及毕业论文的撰写必须规范严谨,请参考下页给出的示意 图,所有的图表名称应比正文小一个字体,如正文为小四字体,则图表的名称为五号字体,并且要求图中和表中的文字尽量不要超过图表名称的字体大小。另:所有论文编写请统一采用office word,不要采用WPS,排版会有很大问题,所有的公式请采用公式编辑器MathType6.0及以上的安装版,画图和制图均使用office visio07或以上版本,软件请大家到网上下载,或者问老师拷贝安装。 6、请大家学会搜索和下载参考文献,进入学校图书馆网址https://www.doczj.com/doc/434384737.html,,在“常用资 源里面”的“CNKI知识网络数字平台”和“万方知识服务平台”两个数据库里面,可按照各自毕业设计题目中的关键词搜索相关期刊论文和硕士博士论文,进行阅读参考。如有疑问和不懂的地方,及时与老师沟通。 7、毕业设计期间纪律:(1)每周进行一次汇报,汇报各自研究进展和取得的阶段性成果; (2)请大家养成自觉和好问的习惯,有不会的地方及时沟通联系老师;(3)若要出去短暂实习或找工作,必须明确告知老师并请假,汇报可采用邮件或者电话或者QQ的形式;(4)原则情况下不接受全学期在外实习,如果需要毕业设计期间去工厂实习,必须办理相关手续,并且毕业设计由工厂提供,老师只负责监督和把关,由此造成的不良后果,请自行负责;(5)若出现不遵守纪律者,毕业设计出现不及格一概与老师无关!8、毕业设计具体和时间节点: (1)英文文献翻译,第4周周三前; (2)中期检查审核,第9-10周; (3)论文初稿,第13-14周; (4)论文定稿,第14-15周; (5)答辩时间,6月5日~6月10日。

电子类毕业设计题目

盼盼电子设计网本网站承接电子类毕业设计论文一条龙服务!!! 电子毕业设计:12 1.基于FPGA的PCI总线设计 2.基于FPGA的UART接口设计 3.基于单片机的数字电压表 4.单片机控制的全自动洗衣机毕业设计 电梯控制的设计与实现 6.恒温箱单片机控制 7.单片机脉搏测量仪 8.单片机控制步进电机毕业设计论文 9.函数信号发生器设计论文 变电所一次系统设计 11.报警门铃设计论文 单片机交通灯控制 13.单片机温度控制系统 通信系统中的接入信道部分进行仿真与分析 15.仓库温湿度的监测系统 16.基于单片机的电子密码锁 17.单片机控制交通灯系统设计 18.基于DSP的IIR数字低通滤波器的设计与实现

19.智能抢答器设计 20.基于LabVIEW的PC机与单片机串口通信设计的IIR数字高通滤波器 22.单片机数字钟设计 23.自动起闭光控窗帘毕业设计论文 24.三容液位远程测控系统毕业论文 25.基于Matlab的PWM波形仿真与分析 26.集成功率放大电路的设计 27.波形发生器、频率计和数字电压表设计 28.水位遥测自控系统毕业论文 29.宽带视频放大电路的设计毕业设计 30.简易数字存储示波器设计毕业论文 31.球赛计时计分器毕业设计论文 数字滤波器的设计毕业论文 机与单片机串行通信毕业论文 34.基于CPLD的低频信号发生器设计毕业论文 35. 基于labVIEW虚拟滤波器的设计与实现序列在扩频通信中的应用 37.正弦信号发生器 38.红外报警器设计与实现 39.开关稳压电源设计 40.基于MCS51单片机温度控制毕业设计论文

41.步进电动机竹竿舞健身娱乐器材 42.单片机控制步进电机毕业设计论文 43.单片机汽车倒车测距仪 44.基于单片机的自行车测速系统设计 45.水电站电气一次及发电机保护 46.基于单片机的数字显示温度系统毕业设计论文 47.语音电子门锁设计与实现 48.工厂总降压变电所设计-毕业论文 49.单片机无线抢答器设计 50.基于单片机控制直流电机调速系统毕业设计论文 51.单片机串行通信发射部分毕业设计论文 52.基于VHDL语言PLD设计的出租车计费系统毕业设计论文 53.超声波测距仪毕业设计论文 54.单片机控制的数控电流源毕业设计论文 55.声控报警器毕业设计论文 56.基于单片机的锁相频率合成器毕业设计论文 57.基于Multism/protel的数字抢答器 58.单片机智能火灾报警器毕业设计论 59.无线多路遥控发射接收系统设计毕业论文 60.单片机对玩具小车的智能控制毕业设计论文 61.数字频率计毕业设计论文 62.基于单片机控制的电机交流调速毕业设计论文

FPGA毕业设计论文英文

[1] Using FPGA technology towards the design of an adaptive fault tolerant framework Erdogan, Sevki (University of Hawaii); Gersting, Judith L.; Shaneyfelt, Ted; Duke, Eugene L. Source: Conference Proceedings - IEEE International Conference on Systems, Man and Cybernetics, v 4, IEEE Systems, Man and Cybernetics Society, Proceedings - 2005 International Conference on Systems, Man and Cybernetics, 2005, p 3823-3827 ISSN: 1062-922X CODEN: PICYE3 Conference: IEEE Systems, Man and Cybernetics Society, Proceedings - 2005 International Conference on Systems, Man and Cybernetics, Oct 10-12 2005, Waikoloa, HI, United States Sponsor: IEEE Systems, Man and Cybernetics Society Publisher: Institute of Electrical and Electronics Engineers Inc. Abstract: In this paper we propose architecture for a Reconfigurable, Adaptive, Fault-Tolerant (RAFT) framework for application in real time systems with require multiple levels of redundancy and protection. Typical application environments include distributed processing, fault-tolerant computation, and mission and safety-critical systems. The framework uses Field Programmable Gate Array (FPGA) technologies with on the fly partial programmability achieving reconfiguration of a system component when the existing components fail or to provide extra reliability as required in the specification. The framework proposes the use an array of FPGA devices to implement a system that, after detecting an error caused by a fault, can adaptively reconfigure itself to achieve fault tolerance. The FPGAs that are becoming widely available at a low cost are exploited by defining a system model that allows the system user to define various levels of reliability choices, providing a monitoring layer for the system engineer. ? 2005 IEEE. (21 refs.) [2]METHOD FOR PROTECTING COMPUTER THROUGH REAL-TIME MONITORING BY PROTECTING EXECUTION FILE, AND COMPUTER AND SYSTEM PROTECTED BY THE SAME Patent number: KR20040083409 Publication date: 2004-10-01 Inventor: AHN MU GYEONG Applicant: SAFEI CO LTD Classification: - international: G06F11/30; G06F11/30; (IPC1-7): G06F11/30 - european: Application number: KR20040072633 20040910 Priority number(s): KR20040072633 20040910 View INPADOC patent family View forward citations

大学生毕业设计(论文)工作自查报告范文

大学生毕业设计(论文)工作自查报告范文 大学生毕业设计(论文)工作自查报告根据教务处《关于做好二○一四届本科学生毕业设计(论文)中期检查的通知》文件精神,教科学院认真组织了自查工作。现将自查情况汇报如下: 一、学院领导高度重视 学院领导和毕业论文领导小组成员在毕业论文领导小组工作会议上认真学习了《通知》精神,做了专门讨论和研究,决定成立由学院督导委员会成员为组成的毕业论文中期检查专家组,具体负责本次毕业论文中期检查工作,制定了工作步骤、方式和要求,安排好各自任务。 二、计划周密 我院依据学校的检查通知,参照通知要求的检查内容和方式,制定了周密详细的工作计划。分两个阶段进行检查。第一阶段是自查阶段,学院教学管理科、指导教师和学生自我检查论文中期工作情况,并且做好学院检查的准备。第二阶段是学院检查阶段,对学院的管理资料、指导教师的《中期检查表》和部分学生的论文资料进行全面检查。在全面检查的基础上,分别召开指导教师和学生座谈会,听取他们对学校、学院在毕业论文工作的规章制度、保障措施等方面的意见和建议,以进一步规范管理和提高毕业论文的质量。

三、检查工作认真细致 在自查阶段,学院各方面都能够认真仔细,圆满按时保质保量地完成工作。 3月26日下午,我院督导委员会成员按照计划安排,分别进行了资料检查、教师代表座谈会和学生代表座谈会。 (一)毕业论文中期资料检查 按照教务处《通知》要求,我院决定对于学院的相关文件资料和指导教师的《中期检查表》进行全面检查,学生论文资料抽查不少于总数的1/4,每班随机抽取10名学生的资料,检查论文工作的完成情况。具体检查情况如下: 1.管理方面: 学院毕业设计(论文)工作管理文件,包括文件、通知等资料完整、规范、有序。毕业论文工作计划制定详细具体,符合学校的要求,切合学生的学习情况,整个论文工作执行基本按照计划安排的时间点推进,目前论文工作已经完成计划的80%多。学院制定的《毕业论文实施细则》符合学院学科专业特点与要求,符合学校的毕业论文工作要求,对于毕业论文工作的各个方面工作要求细致而明晰,一目了然。 为了保证学生论文的质量,学院做了大量的前期准备工作,比如制定了详细的《毕业论文实施细则》,在撰写过程中通过多种方式狠抓落实。首先严把三道关:选题关、开题关、答辩关。第二开辟三个信息渠道:一是通过各班的信息

基于FPGA的数字存储示波器的设计毕业设计

本科生毕业设计 基于FPGA的数字存储示波器的设计Design a digital oscillograph based on FPGA

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

基于FPGA的液晶显示设计毕业设计论文

诚信申明 本人申明: 我所呈交的本科毕业设计(论文)是本人在导师指导下对四年专业知识而进行的研究工作及全面的总结。尽我所知,除了文中特别加以标注和致谢中所罗列的内容以外,论文中创新处不包含其他人已经发表或撰写过的研究成果,也不包含为获得北京化工大学或其它教育机构的学位或证书而已经使用过的材料。与我一同完成毕业设计(论文)的同学对本课题所做的任何贡献均已在文中做了明确的说明并表示了谢意。 若有不实之处,本人承担一切相关责任。 本人签名:年月日

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

大学生毕业设计(论文)的重要性

1、是学生基础学习的总结 首先,毕业设计是大学生弥补以前课程学习的不足。大学生需在完成其所学课程之后运用所学的知识进行毕业设计,如果以前某门课学得不好,可以利用设计时间重新加以学习和弥补。其二,毕业设计对课程学习有提高的作用。设计中需要用到的知识可能超出以前所学的课程,为把毕业设计完成好,学生必须查找这方面的资料,直至自己完全掌握,这样有利于进一步提高学生的知识水平。其三,毕业设计是对某一个课题进行深入研究,这有利于着重培养学生综合运用本专业知识、独立解决某一专业问题的能力,是对学生专业知识掌握情况和运用能力的直接检验,也是培养学生创新能力的重要途径。其四,毕业设计是学生走上新的岗位知识和能力储备的需要。学生在校期间学习了许多课程,但利用学过的知识解决实际问题的能力普遍不高,知识要转化为能力,必须通过实践,培养创新精神更离不开实践。 2、是学生毕业资格和学位认定的需要 按照((op华人民共和国学位条例)}'J要求,获得学士学位的本科毕业生在业务上,必须系统地掌握本专业的基本理论和基本技能,完成本科教学计划规定的各项要求,成绩合格;同时具有从事科学研究工作或担负专门技术工作的初步能力。这一要求包含两方面:一是知识的掌握方面,二是专业能力方面,这两方面要求缺一不可的。更准确地说,完成本科教学计划规定的各项要求,成绩合格仅是获得毕业证的基本条件;要获得学士学位,还应该具有从事科学研究工作或担负专门技术工作的初步能力。是否具备了这种能力,毕业设计(论文)是最有效的检验方式。 3、是对大学生进行素质教育的主要教学环节 毕业设计(论文)是实施大学生研究训练计划,营造学术研究氛围,培养大学生创新能力,进行素质教育的主要教学环节。同时,毕业设计(论文)教学质量也是检验一个学校、一个专业教学水平的重要内容。 4、使学生由学校向社会过渡做好准备 毕业设计中包括毕业实习和课题调研等环节,通过现场的参观学习、动手操作和听取报告等活动,大学生能够了解一些现场所需的基本知识并为将来走向社会获得一定的感性认识。

基于FPGA的MCU设计毕业设计

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

相关主题
文本预览
相关文档 最新文档