当前位置:文档之家› 数字电路填空题

数字电路填空题

数字电路填空题
数字电路填空题

数字电路填空题

1、(82)10=()8421BCD

答案:10000010

难度:1

知识点:数字电路/基础知识/ 数制与码制

2、(358.2)10=()8421BCD

答案:001101011000.0010

难度:1

知识点:数字电路/基础知识/ 数制与码制

3、(100100111000)8421BCD=()10

答案:938

难度:1

知识点:数字电路/基础知识/ 数制与码制

4、(1000.00010010)8421BCD=( )10

答案:8.12

难度:1

知识点:数字电路/基础知识/ 数制与码制

5、(1ED.C8) 16=( )10

答案:493.78125

难度:1

知识点:数字电路/基础知识/ 数制与码制

6、(10100.001)2=( )8=( )16

答案:24.1@14.2

难度:1

知识点:数字电路/基础知识/ 数制与码制

7、(111010111001) 2=( )8=( )16

答案:7271@EB9

难度:1

知识点:数字电路/基础知识/ 数制与码制

8、(1011.01)2=( )10

答案:11.25

难度:1

知识点:数字电路/基础知识/ 数制与码制

9、(100100.011) 2=( )10

答案:36.375

难度:1

知识点:数字电路/基础知识/ 数制与码制

10、(365) 10=( )2=( )8=( )16

答案:101101101@555@16D

难度:2

知识点:数字电路/基础知识/ 数制与码制

11、(10010111) 8421BCD=( )10=( )2=( )8 答案:97@1100001@141

难度:2

知识点:数字电路/基础知识/ 数制与码制

12、(65.312) 10=( )2=( )8=( )16

答案:1000001.010011@101.23@41.4C

难度:2

知识点:数字电路/基础知识/ 数制与码制

13、(1011101) 2=( )10=( )8=( )16

答案:93@135@5D

难度:2

知识点:数字电路/基础知识/ 数制与码制

14、(121) 8=( )10=( )16=( )2

答案:81@51@1010001

难度:2

知识点:数字电路/基础知识/ 数制与码制

15、(BE) 16=( )10=( )2=( )8

答案:190@10111110@276

难度:2

知识点:数字电路/基础知识/ 数制与码制

16、Y=AB+CDE+0 对偶式为Y/=

答案:(A+B)(C+D+E )1

难度:1

知识点:数字电路/基础知识/ 逻辑代数

17、Y= ABC+AD+C 对偶式为Y/=

答案:(A+B+C)(A+D) C

难度:1

知识点:数字电路/基础知识/ 逻辑代数

18、Y=(A+B+C)A B C 对偶式为Y/=

答案:ABC ( A + B + C )

难度:1

知识点:数字电路/基础知识/ 逻辑代数

19、Y=AB+CDE+0 的反函数式为Y=

答案:(A + B)(C + D + E )1

难度:1

知识点:数字电路/基础知识/ 逻辑代数

20、Y=AB+C+AD 的反函数式为Y=

答案:(A + B)C ( A + D )

难度:1

知识点:数字电路/基础知识/ 逻辑代数

21、Y= B + 0+ABD 的反函数式为Y=

答案:B 1 ( A + B + D )

难度:1

知识点:数字电路/基础知识/ 逻辑代数

22、逻辑代数中的三种基本逻辑运算有、、。

答案:与运算@或运算@非运算

难度:1

知识点:数字电路/基础知识/ 逻辑代数

23、逻辑代数的三种基本运算规则、、。

答案:代入定理@反演定理@对偶定理

难度:1

知识点:数字电路/基础知识/ 逻辑代数

24、逻辑函数的描述方法有、、、、等。答案:逻辑真值表@逻辑函数式@ 逻辑图@波形图@卡诺图

难度:1

知识点:数字电路/基础知识/ 逻辑代数

25、反相器在其输入为高电平时,输出为、而输入为低电平时,输出为。答案:低电平@高电平

难度:1

知识点:数字电路/基础知识/ 逻辑门电路

26、最基本的门电路是、、三种。

答案:与门@或门@非门

难度:1

知识点:数字电路/基础知识/ 逻辑门电路

27、正逻辑用表示高电平,用表示低电平。

答案:1 @ 0

难度:1

知识点:数字电路/基础知识/ 逻辑门电路

28、负逻辑体系中的负或门是正逻辑体系中的正门。

答案:与

难度:2

知识点:数字电路/基础知识/ 逻辑门电路

29、正逻辑体系中的正或门是负逻辑体系中的负门。

答案:与

难度:2

知识点:数字电路/基础知识/ 逻辑门电路

30、正逻辑体系中的正非门是负逻辑体系中的负门。

答案:非

难度:2

知识点:数字电路/基础知识/ 逻辑门电路

31、正逻辑体系中的正与非门是负逻辑体系中的负门。

答案:或非

难度:2

知识点:数字电路/基础知识/ 逻辑门电路

32、正逻辑体系中的正或非门是负逻辑体系中的负门。

答案:与非

难度:2

知识点:数字电路/基础知识/ 逻辑门电路

33、负逻辑体系中的负同或门是正逻辑体系中的正门。

答案:异或

难度:2

知识点:数字电路/基础知识/ 逻辑门电路

34、正逻辑体系中的正同或门是负逻辑体系中的负门。

答案:异或

难度:2

知识点:数字电路/基础知识/ 逻辑门电路

35、正、负逻辑体系仅反映了观察同一问题时的不同角度,而问题的实质是的。答案:一致

难度:2

知识点:数字电路/基础知识/ 逻辑门电路

36、典型的TTL与非门电路使用的电路为V,其输出高电平为V,输出低电平为V,其扇出系数N为。

答案:5 @3.6@ 0.35@ 8

难度:2

知识点:数字电路/基础知识/ 逻辑门电路

37、TTL与非门多余的输入端应或。

答案:接高电平@悬空

难度:2

知识点:数字电路/基础知识/ 逻辑门电路

38、TTL或非门多余的输入端应。

答案:接低电平

难度:2

知识点:数字电路/基础知识/ 逻辑门电路

39、我们把不致影响输出逻辑状态所允许的噪声电压幅度的界限称为TTL与非输入端的。

答案:噪声容限

难度:2

知识点:数字电路/基础知识/ 逻辑门电路

40、TTL与非门电路输入端串接的电阻R I≥R ION(开门电阻)时;相当于输入接了,而R I≤R IOFF(关门电阻)时,相当于输入接了。

答案:高电平@低电平

难度:2

知识点:数字电路/基础知识/ 逻辑门电路

41、TTL与非门电源静态总功耗较小,但在动态时,由于存在而使增加。

. 答案:尖峰电流@动态功耗

难度:2

知识点:数字电路/基础知识/ 逻辑门电路

42、三态门电路的输出有、和3种状态。

. 答案:高电平@低电平@高阻

难度:2

知识点:数字电路/基础知识/ 逻辑门电路

43、与双极型相比,MOS电路的主要优点是,所以,主要缺点是。

. 答案:高电平@低电平@高阻

难度:2

知识点:数字电路/基础知识/ 逻辑门电路

数字电路经典笔试题目汇总

数字电路笔试汇总 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同 步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電 路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-- 因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用 非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻 辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存 器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路 共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信 号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下 一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不 变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不 变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

数字电路试题及答案

枣庄学院2011 ——2012 学年度第一学期 《数字电路》考试试卷(B卷) (考试时间:150分钟考试方式:开卷) 班级:姓名:学号: 考试内容 1.判断题正确的在括号内记“√”,错误的记“X”(共10分,每题1分) 1)“0”的补码只有一种形式。() 2)卡诺图中,两个相邻的最小项至少有一个变量互反。() 3)用或非门可以实现3种基本的逻辑运算。() 4)三极管饱和越深,关断时间越短。() 5)在数字电路中,逻辑功能相同的TTL门和CMOS门芯片可以互相替代使用。 () 6)多个三态门电路的输出可以直接并接,实现逻辑与。() 7)时钟触发器仅当有时钟脉冲作用时,输入信号才能对触发器的状态产生影响。 () 8)采用奇偶校验电路可以发现代码传送过程中的所有错误。() 9)时序图、状态转换图和状态转换表都可以用来描述同一个时序逻辑电路的逻辑功能,它们之间可以相互转换。() 10)一个存在无效状态的同步时序电路是否具有自启动功能,取决于确定激励函数时对无效状态的处理。() 二.选择题(从下列各题的备选答案中选出1个或多个正确答案,将其填在括号中。共10分) 1.不能将减法运算转换为加法运算。()A.原码 B.反码 C.补码 2.小数“0”的反码可以写为。()A....0 B....0 C....1 D. (1) 3.逻辑函数F=A B和G=A⊙B满足关系。()

A .F=G B .F ’=G C .F ’=G D .F =G ⊕1 4.要使JK 触发器在时钟脉冲作用下,实现输出n n Q Q =+1,则输入端信号应为 。 ( ) A .J =K =0 B .J =K =1 C .J =1,K =0 D .J =0,K =1 5.设计一个同步10进制计数器,需要 触发器。 ( ) A .3个 B .4个 C .5个 D .10个 三.两逻辑函数BCD A D C BC CD B D C A AB F ++++=)(1,D C D B A F ⊕⊕=2,求两者的关系。(10分) 四.用与非门-与非门电路实现逻辑函数C B B A F ⊕+⊕=。(10分) 五.已知:TTL 与非门的I OL =15mA ,I OH =400μA ,V OH =,V OL =;发光二极管正向

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电路试题及答案

1)“0”的补码只有一种形式。 (√ ) 2)卡诺图中,两个相邻的最小项至少有一个变量互反。 (√ ) 3)用或非门可以实现3种基本的逻辑运算。 (√ ) 4)三极管饱和越深,关断时间越短。 (X ) 5)在数字电路中,逻辑功能相同的TTL 门和CMOS 门芯片可以互相替代使用。 (X ) 6)多个三态门电路的输出可以直接并接,实现逻辑与。 (X ) 7)时钟触发器仅当有时钟脉冲作用时,输入信号才能对触发器的状态产生影响。 (√ ) 8)采用奇偶校验电路可以发现代码传送过程中的所有错误。 (X ) 9)时序图、状态转换图和状态转换表都可以用来描述同一个时序逻辑电路的逻辑功能,它们之间可以相互转换。 (√ ) 10)一个存在无效状态的同步时序电路是否具有自启动功能,取决于确定激励函数时对无效状态的处理。 (√ ) 二.选择题(从下列各题的备选答案中选出1个或多个正确答案,将其填在括号中。共10分) 1. 不能将减法运算转换为加法运算。 ( A ) A .原码 B .反码 C .补码 2.小数“0”的反码可以写为 。 (AD ) A .0.0...0 B .1.0...0 C .0.1...1 D .1.1 (1) 3.逻辑函数F=A ⊕B 和G=A ⊙B 满足关系 。 (ABD ) A .F=G B .F ’=G C .F ’=G D .F =G ⊕1 4.要使JK 触发器在时钟脉冲作用下,实现输出n n Q Q =+1,则输入端信号应为 。 (B ) A .J =K =0 B .J =K =1 C .J =1,K =0 D .J =0,K =1 5.设计一个同步10进制计数器,需要 触发器。 (B ) A .3个 B .4个 C .5个 D .10个 三.两逻辑函数BCD A D C BC CD B D C A AB F ++++=)(1,D C D B A F ⊕⊕=2,求两者的关系。(10分) 解:两函数相等,∑(0,3,4,7,11,12) 四.用与非门-与非门电路实现逻辑函数C B B A F ⊕+⊕=。(10分)

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

(完整版)数字电路自试题3答案

数字电路自测题3答案 一、填空题:(每空1分,共20分) 1.八进制数 (34.2 ) 8 的等值二进制数为 11100.01 ;十进制数 98 的 8421BCD 码为 10011000 。 2.试写出下列图中各门电路的输出分别是什么状态 (高电平、低电平) ?(其中(A )(B )为TTL 门电路,而(C )为CMOS 门电路) (A ) (B ) (C ) Y 1= 02 Y 2= 1 Y 3= 1 3.一个 JK 触发器有 2 个稳态,它可存储 1 位二进制数。 4. 单稳态触发器 有一个稳定状态和一个暂稳状态。 施密特触发器 有两个稳定状态、有两个不同的触发电平,具有回差特性。 多谐振荡器 没有稳定状态,只有两个暂稳态。以上三种电路均可由 555定时器 外接少量阻容元件构成。 5.常用逻辑门电路的真值表如右图所示,则 F 1 、F 2 、F 3 分别属于何种常用逻辑门。F 1 同或 ,F 2 与非门 ,F 3 或非 。 6.OC 门的输出端可并联使用,实现__线与____功能;三态门的输出状态有______0________、 1 、 高阻 三种状态。 7.时序逻辑电路的输出不仅和____输入 ___有关,而且还与___电路原来状态____有关。 二、选择题: (选择一个正确答案填入括号内,每题2分,共20分 ) 1.在四变量卡诺图中,逻辑上不相邻的一组最小项为:( D ) A .m 1 与m 3 B .m 4 与m 6 C .m 5 与m 13 D .m 2 与m 8 2.L=AB+C 的对偶式为:( B ) A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1

数字电子技术试题库

数 字 电 子 技 术 2011年7月23日星期六

1 1 : 对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为()。 (2分) A:0 B:1 C:Q' D:不确定 您选择的答案: 正确答案: A 知识点:JK触发器的特性为:J=1, K=1时,Q状态为翻转,即Q= Q’ -------------------------------------------------------------------- 2 : 已知Y=A+AB′+A′B,下列结果中正确的是() (2分) A:Y=A B:Y=B C:Y=A+B D:Y=A′+B′ 您选择的答案: 正确答案: C 知识点:利用公式A+AB′=A和A+A′B=A+B进行化简 -------------------------------------------------------------------- 3 : (1001111)2的等值十进制数是() (2分) A:97 B:15.14 C:83 D:79 您选择的答案: 正确答案: D 知识点:把二进制数转换为等值的十进制数,只需将二进制数按多项式展开,然后把所有各项的数值按十进制数相加。 -------------------------------------------------------------------- 4 : 图中为CMOS门电路,其输出为()状态 (2分) A:高电平 B:低电平 C:高阻态 D:不确定 您选择的答案: 正确答案: A 知识点:对于CMOS门电路,输入端接负载时,输入电平不变 -------------------------------------------------------------------- 5 : 四选一数据选择器的数据输出Y与数据输入Di和地址码Ai之间的逻辑表达式为Y=() (2分) A:A1′A0′D0+ A1′A0D1+ A1A0′D2+ A1A0D3 B:A1′A0′D0

华师网络学院作业答案-数字电路分析题(20210117015613)

TTL电路及输入A、B、C波形如图所示,写出其输出逻辑表达式,并画输出丫的波形图电路及输入、、波形如图所示,写出输出逻辑表达式,并画出输出丫的波形图。 ---- s H L Y A B C 答案: 解:骗出迸辑表达式匕Y = A^B-C = ABC 输出波 B ------------------ C ---------------------- TTL电路及输入A、B波形如图所示,写出其输出逻辑表达式,并画输出& & >1 r 答案:丫的波形图。 C

解:输出逻辑表达式=Y = + = + C 输出波形图;+ A B C Y 如图所示电路是边沿 D 触发器,要求:(1)写出触发器的次态逻辑表达式; (2)给出CP 和A 的波形如下,画出触发 器的状态波形。设触发器初始状态为 0。 答案: 駆动方程:D = A 次态逻辑表达式’ = 融发器状态波骸(餌丄有效2 译码器74LS138和与非门构成的逻辑电路如图所示。请写出最简的输出逻辑表达式。 答案 : TOYI 囊岳爲 T5TO T7 毘一

解:输出逻辑表达式:F 二乔石?脊石* 化简;a y 二叮歼?热月二托+此+為+岭 =2方F+丄丽+屈C+HEC 二 BC C A ^A )-^-AC (豆+月)二託*)0 同步十六进制计数器 74LS161构成电路如下图所示。要求:画出电路的状态转换图,说明该电路的逻辑功能。 答案: 解:1 ?电路的状态转换圈狀 Q^QiQiQ^ 0000 T0001 T OQIO T0011 T 0100 — 0101 t 0110 T J noo looo ^OIH (:在状态为1100时?£D = 0P 置数为00(W ) 2.电路的逻辑功旨上是;十三进制加袪计数器卩 分析如图所示电路,要求:(1)写出输出 Y 的逻辑表达式;(2)由逻辑表达式列写真值表; (3)说明电路功 能。 答案 : Q3 QI QQ CO CTp 74LS151 I D CP D3 D2 DI DD CF 一 E I}

数字电子技术试卷试题答案汇总(完整版)

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础试卷试题答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规 则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与 非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方 程 , 主从JK 触发器的特性方程 ,D 触发器的特性方 程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲 同时加到所有触发器上 C 、异步计数器不需要计数脉冲的控制 8、下列说法是正确的是 ( )

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=Ω,C=μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号 ……………线………………………

图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图3 D= Q n+1= Q = 1 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。

数字电子技术试题和答案(题库)

数字电子技术基础试题(一)一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1 2.下列几种TTL电路中,输出端可实现线和功能的电路是()。 A、或非门 B、和非门 C、异或门 D、OC门 3.对CMOS和非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。 图3 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器

数字电路基础考试题9答案

A 卷 一.选择题(18) 1.以下式子中不正确的是( C ) a .1A =A b .A +A=A c . B A B A +=+ d .1+A =1 2.已知B A B B A Y ++=下列结果中正确的是( ) a .Y =A b .Y =B c .Y =A +B d .B A Y += 3.TTL 反相器输入为低电平时其静态输入电流为( ) a .-3mA b .+5mA c .-1mA d .-7mA 4.下列说法不正确的是( ) a .集电极开路的门称为OC 门 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .O C 门输出端直接连接可以实现正逻辑的线或运算 d 利用三态门电路可实现双向传输 5.以下错误的是( ) a .数字比较器可以比较数字大小 b .实现两个一位二进制数相加的电路叫全加器 c .实现两个一位二进制数和来自低位的进位相加的电路叫全加器 d .编码器可分为普通全加器和优先编码器 6.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 6. A 7. B 8. A 9. B b .时序电路必然存在状态循环

c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .边沿触发器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现象 7.电路如下图(图中为下降沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“011”,请问时钟作用下,触发器下一状态为( ) a .“110” b .“100” c .“010” d .“000” 8、下列描述不正确的是( ) a .时序逻辑电路某一时刻的电路状态取决于电路进入该时刻前所处的状态。 b .寄存器只能存储小量数据,存储器可存储大量数据。 c .主从JK 触发器主触发器具有一次翻转性 d .上面描述至少有一个不正确 9.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 使用方便 b .集成二—十进制计数器和集成二进制计数器均可方便扩展。 c .将移位寄存器首尾相连可构成环形计数器 d .上面描述至少有一个不正确 二.判断题(10分) 1.TTL 门电路在高电平输入时,其输入电流很小,74LS 系列每个输入端的输入电流在40uA 以下( ) 2.三态门输出为高阻时,其输出线上电压为高电平( ) 3.超前进位加法器比串行进位加法器速度慢( ) 4.译码器哪个输出信号有效取决于译码器的地址输入信号( ) 5.五进制计数器的有效状态为五个( ) 6. 施密特触发器的特点是电路具有两个稳态且每个稳态需要相应的输入条件维持。( ) 7. 当时序逻辑电路存在无效循环时该电路不能自启动() 8. RS 触发器、JK 触发器均具有状态翻转功能( ) 9. D/A 的含义是模数转换( ) 10.构成一个7进制计数器需要3个触发器( ) 三.计算题(5分) 如图所示电路在V i =和V i =5V 时输出电压 V 0分别为多少,三极管分别工作于什么区(放 大区、截止区、饱和区)。 V i 10k 3k GND +5V V 0

数字电路试题及答案

数字电路试题及答案 二、单项选择题(本大题共10小题,每小题2分,共20分) 1、十六进制数(8F)16对应的十进制数是( C ) A、141 B、142 C、143 D、144 2、逻辑函数L(A,B,C)=(A+B)(B+C)(A+C)的最简与或表达式为( D) A、(A+C)B+AC B、 AB+(B+A)C C、 A(B+C)+BC D、 AB+BC+AC 3、与非门输出为低电平时,需满足( D ) A、只要有一个输入端为低电平 B、只要有一个输入端为高电平 C、所有输入端都是低电平 D、所有输入端都是高电平 4、能够实现“线与”功能的门电路是( D ) A、与非门B、或非门 C、三态输出门D、集电极开路门 5、由与非门构成的基本RS触发器,要使Qn+1=Qn,则输入信号应为(A) A、R=S=1B、R=S=0 C、R=1,S=0D、R=0,S=1 6、要使T触发器Qn+1=Qn ,则(B) A、T=QnB、T=0C、T=1D、T=n 7、对于JK触发器,要使Q n+1=Q n,则(B) A、J=K=1 B、J=K=0 C、J=1,K=0 D、J=0,K=1 8、为实现D触发器转换成T触发器,题图所示的虚线框内应是。( C ) A、与非门 B、异或门 C、同或门 D、或非门 9、十六个数据输入端的数据选择器必有地址输入端的个数为( D) A、1 B、2 C、3 D、4 10、一个4位二进制计数器的最大模数是( C ) A、4 B、8 C、16 D、32 三、简答题(本大题共2小题,每小题5分,共10分) 1、数字电路从整体上看可分为几大类? 答:(1)、按集成度分,有小、中、大、超大、甚大规模;(3分) (2)、按结构工艺分,有TTL、CMOS集成电路。(2分) 2、最简与-或表达式的标准是什么? 答:(1)、包含的与项最少;(3分) (2)、每个与项中变量的个数最少。(2分) 四、分析计算题(本大题共6小题,每小题10分,共60分) 1、逻辑电路的输入变量A、B和输出函数F的波形如题3-1图所示,试列出真值表,写出逻辑函数F的逻辑表达式,并画逻辑图。

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

数字电子——考试题库及答案

触发器有两个互补的输出,且输出不仅与输入有关,还和电路原状态:收藏 A. 无关 B. 无法确定 C. 有关 回答错误!正确答案: C 单稳态触发器可以用于: 收藏 A. 定时 B. 编码 C. 译码 回答错误!正确答案: A 存储矩阵由许多存储单元组成。每个存储单元可存放()位二进制数。收藏 A. 1 B.

4 C. 2 回答错误!正确答案: A n个逻辑变量,共有()个最小项。 收藏 A. 2的n次方 B. n C. 2n 回答错误!正确答案: A 二进制计数器每经一级触发器,输出脉冲的频率:收藏 A. 降低一倍 B. 不会改变 C. 增大一倍 回答错误!正确答案: A

()电路,具有回差,抗干扰强。 收藏 A. 单稳态触发器 B. 多谐振荡器 C. 施密特触发器 回答错误!正确答案:C ()电路可用于幅度的鉴别。 收藏 A. 施密特触发器 B. 单稳态触发器 C. 多谐振荡器 回答错误!正确答案: A D/A转换器是可以实现()转换的电路。收藏 A. 数字信号向模拟信号 B.

模拟信号向数字信号 C. 数字信号向二进制信号 回答错误!正确答案: A RS触发器的触发输入信号之间: 收藏 A. 无约束 B. 有约束 C. 无法确定 回答错误!正确答案:B 当JK触发器的J=K=1时,所构成的触发器为:收藏 A. 置0型的触发器 B. 置1型的触发器 C. 翻转型的触发器 回答错误!正确答案:C

半导体存储器可以用来存放数据、资料等()信息。 收藏 A. 10进制 B. 12进制 C. 2进制 回答错误!正确答案: C 存储器的存储容量是指所包含的: 收藏 A. 存储器字长 B. 所存放的字数 C. 总存储单元数 回答错误!正确答案: C 若要对100个信息进行编码,则在输出端至少需要()位二进制代码。收藏 A. 4 B.

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

数字电子技术期末复习题库及答案完整版

数字电子技术期末复习 题库及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑 关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和 非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和 非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。 (对) 3、8421BCD码、2421BCD码和余3码都属于有权码。 (错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

数字电路试题及答案

数字电路试题及答案 Company number:【WTUT-WT88Y-W8BBGB-BWYTT-

《数字电路》试卷及答案 一、【单项选择题】(本大题共20小题,每小题2分,共40分)在每小题列出的四个选项中只有一个选项是符合题目要求的,请将正确选项前的字母填在答题卷相应题号处。 1、对于钟控RS 触发器,若要求其输出“0”状态不变,则输入的RS 信号应为( A )。 2、以下各电路中,( B )可以产生脉冲定时。 [A] 多谐振荡器 [B] 单稳态触发器 [C] 施密特触发器 [D] 石英晶体多谐振荡器 3、下列逻辑电路中为时序逻辑电路的是( C )。 4、同步时序电路和异步时序电路比较,其差异在于后者( B )。 [A] 没有触发器 [B] 没有统一的时钟脉冲控制 [C] 没有稳定状态 [D] 输出只与内部状态有关 5、当用专用输出结构的PAL 设计时序逻辑电路时,必须还要具备有( A )。 6、能将输出端直接相接完成线与的电路有( C )。 7、TTL 与非门的多余脚悬空等效于( A )。 8、以下哪一条不是消除竟争冒险的措施( B )。 [A] RS=X0 [B] RS=0X [C] RS=X1 [D] RS=1X [A] 变量译码器 [B] 加法器 [C] 数码寄存器 [D] 数据选择器 [A] 触发器 [B] 晶体管 [C] MOS 管 [D] 电容 [A] TTL 与门 [B] 或门 [C] 三态门 [D] 三极管非门 [A] 1 [B] 0 [C] Vcc [D] Vee [A] 接入滤波电 路 [B] 利用触发器 [C] 加入选通脉冲 [D] 修改逻辑设计

数字电路试卷

电子技术基础(数字部分) 一、选择题(每题2分,共30分) 1.决定一事件结果的所有条件中要求所有的条件同时满足时结果就发生,这种条件和结果的逻辑关系是( )。 A.与 B.或 C.非 D.异或 2.在下图的逻辑符号中,能实现F=AB 逻辑功能的是( )。 3.同或的逻辑表达式为:( )。 A.L AB AB =+ B. L AB AB =+ C.L AB AB =+ D. L A B =+ 4.SR 锁存器是一种_______稳态电路。( ) A.无 B.单 C.双 D.多 5.基本SR 锁存器,当SR 锁存器状态不确定时,( )。 A . S=0;R=0 B.S=0;R=1 C.S=1;R=0 D.S=1;R=1 6.R-S 型触发器的“R ”意指( )。 A.重复 B.复位 C.优先 D.异步 7.下列电路中,不属于组合逻辑电路的是( )。 A .译码器 B .全加器 C .寄存器 D .编码器 8.一个8选一数据选择器的数据输入端有_______个。( ) A.1 B.2 C.8 D.4 9.组合逻辑电路消除竞争冒险的方法有( )。 A.前级加电阻 B .在输入端接入滤波电容 C .后级加缓冲电路 D.屏蔽输入信号的尖峰干扰

10.__________不能将减法运算转换为加法运算。() A.原码 B.反码 C.补码 11.逻辑函数F=A⊕B和G=A⊙B不满足关系_________。() A.F=G B.F’=G C.F’=G D.F=G⊕1 12.使JK触发器在时钟脉冲作用下,实现输出 n n Q Q= +1 ,则输入端信号应为()。 A.J=K=0 B.J=K=1 C.J=1,K=0 D.J=0,K=1 13.设计一个同步10进制计数器,需要________触发器。() A.3个 B.4个 C.5个 D.10个 14.数值[375]10与下列哪个数相等。() A.[111011101]2 B.[567]8 C.[11101110]BCD D.[1F5]16 15.凡在数值上或时间上不连续变化的信号,称为 ( )。 A、模拟信号 B、数字信号 C、直流信号 D、交流信号 二、填空题(每空1分,共20分) 1.某通信系统每秒钟传输1000000位,那么每位数据的占时间__________________; 2.最基本的门电路是:________、________、__________。 3.发光二极管构成的七段显示器有两种,分别是___________和_____________电路。 4.三态门(TS门)的输出状态除了高电平或低电平两种状态外,还有第三状态是。 5.触发器是一种对_________敏感的存储电路。 6.组合逻辑电路不含具有__________功能的元件。 7. 2002个‘1’异或的结果是_____. 8.对30个信号进行编码,采用二进制编码需________位输出。 9.如图所示逻辑电路的输出Y= 。 10.数模转换器的输入为8位二进制数字信号(D7~D0),输出为0~25.5V的模拟电压。若数字信号的最

数字电子技术试题库及答案

数字电子技术期末试题库 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000 3、在图1所示电路中,使 __ A Y 的电路是---------------------------------------------( A )

相关主题
文本预览
相关文档 最新文档