当前位置:文档之家› 数字逻辑第三章

数字逻辑第三章

数字逻辑第三章
数字逻辑第三章

第三章门电路

1 : 下列哪个逻辑门可以双向传输数据

A:OD门

B:三态门

C:传输门

D:OC门

您选择的答案: 正确答案:C

知识点:传输门可以双向传输数据

---------------------------------------------------------------------------- 2 : 下列哪个逻辑门可以输出并联使用

A:卤门

B:三态门

C:传输门

D:OC门

您选择的答案: 正确答案:D

知识点:OC门输出并联使用形成“线与”关系

---------------------------------------------------------------------------- 3 : 下列哪个逻辑门有三种状态

A:OD门

B:三态门

C:传输门

D:OC门

您选择的答案: 正确答案:B

知识点:三态门有高电平、低电平、高阻态三种状态

---------------------------------------------------------------------------- 4 : 下列哪个逻辑门可以实现吸收大负载电流功能

A:卤门

B:三态门

C:传输门

D:OD门

您选择的答案: 正确答案:D

知识点:OD门输出并联使用形成“线与”关系,可以实现吸收大负载电流功能---------------------------------------------------------------------------- 5 : VIH表示什么含义

A:输出低电平

B:输入高电平

C:输出高电平

D:输入低电平

您选择的答案: 正确答案:B

知识点:I即input,表示输入;H即high,表示高电平

---------------------------------------------------------------------------- 6 : VIL表示什么含义

A:输出低电平

B:输入高电平

C:输出高电平

D:输入低电平

您选择的答案: 正确答案:D

知识点:I即input,表示输入;L即low,表示低电平

---------------------------------------------------------------------------- 7 : VOH表示什么含义

A:输出低电平

B:输入高电平

C:输出高电平

D:输入低电平

您选择的答案: 正确答案:C

知识点:O即output,表示输出;H即high,表示高电平

---------------------------------------------------------------------------- 8 : VOL表示什么含义

A:输出低电平

B:输入高电平

C:输出高电平

D:输入低电平

您选择的答案: 正确答案:A

知识点:O即output,表示输出;L即low,表示低电平

---------------------------------------------------------------------------- 9 : TTL反向器输入悬空时输出是什么状态

A:低电平

B:高电平

C:高阻态

D:不确定

您选择的答案: 正确答案:A

知识点:TTL反向器输入悬空时,输入为高电平

---------------------------------------------------------------------------- 10 : TTL同或门和CMOS同或门比较,它们的逻辑功能一样吗?

A:一样

B:不一样

C:有时一样,有时不一样

D:不确定

您选择的答案: 正确答案:A

知识点:TTL门电路和CMOS门电路逻辑功能一样

---------------------------------------------------------------------------- 11 : 图中为TTL门电路,其输出为()状态

A:高电平

B:低电平

C:高阻态

D:不确定

您选择的答案: 正确答案:B

知识点:对于TTL门电路,悬空为高电平

---------------------------------------------------------------------------- 12 : 图中为TTL门电路,其输出为()状态

A:高电平

B:低电平

C:高阻态

D:不确定

您选择的答案: 正确答案:A

知识点:对于TTL门电路,当负载大于690Ω时,输入则从低电平变为高电平----------------------------------------------------------------------------

A:高电平

B:低电平

C:高阻态

D:不确定

您选择的答案: 正确答案:A

知识点:对于TTL门电路,当负载大于690Ω时,输入则从低电平变为高电平---------------------------------------------------------------------------- 14 : 图中为TTL门电路,其输出为()状态

A:高电平

B:低电平

C:高阻态

D:不确定

您选择的答案: 正确答案:B

知识点:对于TTL门电路,当负载大于690Ω时,输入则从低电平变为高电平---------------------------------------------------------------------------- 15 : 图中为TTL门电路,其输出为()状态

A:高电平

B:低电平

C:高阻态

D:不确定

您选择的答案: 正确答案:B

知识点:图示中,控制端高电平有效。高电平有效时逻辑关系为非

----------------------------------------------------------------------------

A:高电平

B:低电平

C:高阻态

D:不确定

您选择的答案: 正确答案:C

知识点:图示中,控制端低电平电平有效。控制端无效时输出为高阻态

---------------------------------------------------------------------------- 17 : 图中为TTL门电路,其输出为()状态

A:高电平

B:低电平

C:高阻态

D:不确定

您选择的答案: 正确答案:A

知识点:对于TTL门电路,当负载大于35KΩ时,输入则从高电平变为低电平---------------------------------------------------------------------------- 18 : 图中为TTL门电路,其输出为()状态

A:高电平

B:低电平

C:高阻态

D:不确定

您选择的答案: 正确答案:B

知识点:对于TTL门电路,悬空为高电平

----------------------------------------------------------------------------

A:高电平

B:低电平

C:高阻态

D:不确定

您选择的答案: 正确答案:A

知识点:对于CMOS门电路,输入端接负载时,输入电平不变

---------------------------------------------------------------------------- 20 : 图中为CMOS门电路,其输出为()状态

A:高电平

B:低电平

C:高阻态

D:不确定

您选择的答案: 正确答案:A

知识点:对于CMOS门电路,输入端接负载时,输入电平不变

---------------------------------------------------------------------------- 21 : 图中为CMOS门电路,其输出为()状态

A:高电平

B:低电平

C:高阻态

D:不确定

您选择的答案: 正确答案:B

知识点:对于CMOS门电路,输入端接负载时,输入电平不变

----------------------------------------------------------------------------

A:高电平

B:低电平

C:高阻态

D:不确定

您选择的答案: 正确答案:B

知识点:对于OC门电路,门电路输出端直接连接时,逻辑关系为与

----------------------------------------------------------------------------

数字逻辑第五章课后习题答案

数字逻辑第五章课后习题答案 5-1、解:(1) 列出电路的激励函数和输出函数表达式: 1111J K CP CP ==??=? 22321,1J Q K CP Q ?==??=?? 323331 ,1 J Q Q K CP Q ?==?? =?? Q 1n+1); Q 2n+1); Q 3 n+1) (2) (4) 功能描述:由状态图可知,此电路为一带自启动能力的六进制计数器。 1 2 3 4 5 6 7 8 CP Q 1 Q 2 Q 3 时间图

5-2、解:表5.29所示为最小化状态表,根据状态分配原则,无“列”相邻(行相邻在脉冲异步时序电路中不适用。),在“输出”相邻中,应给AD、AC分配相邻代码。取A为逻辑0,如下卡诺图所示,状态赋值为:A=00,B=11;C=01;D=10。于是,二进制状态表 如下,根据D触发器的激励表可画出CP2、D2、CP1、D1、Z的卡诺图, 二进制状态表 状态编码 D触发器的激励表

5-3、解: 原始状态图 5-4、解:(1)写出电路的激励函数和输出函数表达式: Y 2=x 2+x 12x 1(2)作状态流程表: (3)作时间图:

设输入状态x2x1的变化序列为00 01 11 10 00 10 11 01.初始总态为(x2x1,y2y1)=(00,00). 从本题的状态流程表推演出总响应序列为 总态响应序列表 x2 x1 y2 y1 Z 时间图 (4)电路功能:当输入状态x2x1的变化序列为01 11 10 00时,电路输出高电平1,其余情况输出低电平0.因此,该电平异步时序电路为01 11 10 00序列检测器。 5-5、解: 时间图如下

第三章《数字逻辑》(第二版)习题答案

第三章 1.根据所采用的半导体器件不同,集成电路可分为哪两大类?各 自的主要优缺点是什么? 解答 双极型集成电路:采用双极型半导体器件作为元件.主要特点是速度快、 负载能力强,但功耗较大、集成度较低。 单极型集成电路:指MOS集成电路,采用金属-氧化物半导体场效应管 (Metel Oxide Semi- conductor Field Effect Transister,简写为MOSFET)作为元件.MOS型集成电 路的特点是结构简单、制造方便、集成度高、功耗低, 但速度较慢。 2.简述晶体二极管的静态特性? 解答 “正向导通(相当于开关闭合),反向截止(相当于开关断开)”,硅管正向压降约0.7伏,锗管正向压降约0.3伏。 3.晶体二极管的开关速度主要取决于什么? 解答 晶体二极管的开关速度主要取决于反向恢复时间(二极管从正向导通到反向截止所需要的时间)和 开通时间(二极管从反向截止到正向导通所需要的时间)。相比之下,开通时间很短,一般可以忽略不计。因此,影响二极管开关速度的主要因素是反向恢复时间。 4.数字电路中,晶体三极管一般工作在什么状态? 解答 数字电路中,晶体三极管一般工作在“截止状态”(相当于开关断开)

和“饱和导通状态”(相当于开关闭合)。 5.晶体三极管的开关速度取决于哪些因素? 解答 晶体三极管的开关速度主要取决于开通时间t on(三极管从截止状态到饱和状态所需要的时间)和关闭时间t off (三极管从饱和状态到截止状态所需要的时间),它们是影响电路工作速度的主要因素。 6. TTL与非门有哪些主要性能参数? 解答 TTL与非门的主要外部特性参数有输出逻辑电平、开门电平、关门电平、扇入系数、扇出系数、平均传输时延、输入短路电流和空载功耗等8项。 7.OC门和TS门的结构与一般TTL与非门有何不同?各有何主要应用? 解答 OC门:该电路在结构上把一般TTL与非门电路中的T3、D4去掉,令T4的集电极悬空,从而把一般TTL与非门电路的推拉式输出级改为三极管集电极开路输出。OC门可以用来实现“线与”逻辑、电平转换以及直接驱动发光二极管、干簧继电器等。 TS门: 该电路是在一般与非门的基础上,附加使能控制端EN和控制电路构成的。在EN有效时为正常 工作状态,在EN无效时输出端被悬空,即处于高阻状态。TS门主要应用于 数据与总线的连接,以实现总线传送控制,它既可用于单向数据传送,也可用于双向数据传送。 8.有两个相同型号的TTL与非门,对它们进行测试的结果如下:

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

数字逻辑设计及应用 本科3 答案82870

. . 电子科技大学网络教育考卷(C 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 大题号 一 二 三 四 五 六 七 八 九 十 合 计 得 分 一、填空题(每空1分,共20分) 1、10111012= 135 8= 5D 16= 1110011 格雷码 2、FF 16= 255 10= 001001010101 8421BCD = 010********* 余3码 3、已知某数的反码是1010101,则该数的对应的原码是 1101010 ,补码是 1101011 ; 4、逻辑运算的三种基本运算是 与或非 ; 5、一个逻辑在正逻辑系统下,表达式为B A +,则该逻辑在负逻辑系统下,表达式为 AB ; 6、逻辑式A /(B+CD /)的反演式为 A+B /(C /+D) ; 7、已知∑= )3,1,0(),,(m C B A F ,则∑=m F / ( 2,4,5,6,7 ) M ∏=( 2,4,5,6,7 ) ; 8、请问图1-8逻辑为Y= (AB)/ ; 9、n 选1的数据选择器的地址输入的位数为 log 2n(向上取整) 位,多路输入端得个数为 n 个; 10、如果用一个JK 触发器实现D 触发器的功能,已知D 触发器的输入 信号为D ,则该JK 触发器的驱动为: J=D;K=D / ; 11、如果用一个D 触发器实现T 触发器的功能,已知T 触发器的输入信号为T ,则该D 触发器的驱动为: T ⊕Q ; 12、如果让一个JK 触发器只实现翻转功能,则该触发器的驱动为: J=K=1 ; 13、利用移位寄存器实现顺序序列信号1001110的产生,则该移位寄存器中触发器的个数为: 大于或等于3 个; 二、选择题(每题1分,共10分) 1、以下有关原码、反码和补码的描述正确的是: ①.二进制补码就是原码除符号位外取反加1; ②.补码即是就是反码的基础上再加1; ③.负数的原码、反码和补码相同; ④.正数的原码、反码和补码相同; 2、下列逻辑表达式中,与D BC C A AB F / / / 1++=不等的逻辑是: ①./ / / BC C A AB ++ ②./ ///D BC C A AB ++ ③./ /C A AB + ④.BD C A AB ++/ / 3、已知门电路的电平参数如下:,,,,V 3.0V V 0.3V V 25.0V V 2.3V L I IH OL OH ≤≥≤≥请问其低电平的噪声容限为: ①. 0.05V ②. 0.2V ③. 2.95V ④. 2.7V 4、下列逻辑中,与/ A Y =相同的逻辑是: ①.1A Y ⊕= ②.0A Y ⊕= ③.A A Y ⊕= ④./ )A A (Y ⊕= 5、有如下所示波形图,已知ABC 为输入变量,Y 为输出变量,我们可以得到该逻辑的函数式为: ①.AC AB Y += ②.C B A Y ++= ③.C B A Y ??= ④./ / / C B A Y ++= 6、在同步状态下,下面哪种时序逻辑器件的状态更新仅仅发生在时钟触发沿来临的瞬间,并且状态更新的依据也仅仅取决于当时的输入情况: ①.锁存器 ②.电平触发的触发器 ③.脉冲触发的触发器 ④.边沿触发的触发器器 7、或非门所构成的SR 触发器的输入为S 和R ,则其工作时的约束条件为: ①.1R S =+ ②.0R S =? ③.0R S / / =+ ④.R S = 8、要实现有效状态数为8的扭环计数器,则所需移位寄存器中的触发器个数为: ①.8 ②.4 ③.3 ④.2 9、下面的电路,属于组合逻辑的电路是: ①.串行数据检测器 ②.多路数据选择器 ③.顺序信号发生器 ④.脉冲序列发生器 10、下面哪些器件不能够实现串行序列发生器 ①.计数器和组合门电路 ②.数据选择器和组合门电路 ③.移位寄存器和组合门电路 ④.触发器和组合门电路 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-8 图2-5

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

数字逻辑第三章

第三章门电路 1 : 下列哪个逻辑门可以双向传输数据 A:OD门 B:三态门 C:传输门 D:OC门 您选择的答案: 正确答案:C 知识点:传输门可以双向传输数据 ---------------------------------------------------------------------------- 2 : 下列哪个逻辑门可以输出并联使用 A:卤门 B:三态门 C:传输门 D:OC门 您选择的答案: 正确答案:D 知识点:OC门输出并联使用形成“线与”关系 ---------------------------------------------------------------------------- 3 : 下列哪个逻辑门有三种状态 A:OD门 B:三态门 C:传输门 D:OC门 您选择的答案: 正确答案:B 知识点:三态门有高电平、低电平、高阻态三种状态 ---------------------------------------------------------------------------- 4 : 下列哪个逻辑门可以实现吸收大负载电流功能 A:卤门 B:三态门 C:传输门 D:OD门 您选择的答案: 正确答案:D 知识点:OD门输出并联使用形成“线与”关系,可以实现吸收大负载电流功能---------------------------------------------------------------------------- 5 : VIH表示什么含义 A:输出低电平

B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案:B 知识点:I即input,表示输入;H即high,表示高电平 ---------------------------------------------------------------------------- 6 : VIL表示什么含义 A:输出低电平 B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案:D 知识点:I即input,表示输入;L即low,表示低电平 ---------------------------------------------------------------------------- 7 : VOH表示什么含义 A:输出低电平 B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案:C 知识点:O即output,表示输出;H即high,表示高电平 ---------------------------------------------------------------------------- 8 : VOL表示什么含义 A:输出低电平 B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案:A 知识点:O即output,表示输出;L即low,表示低电平 ---------------------------------------------------------------------------- 9 : TTL反向器输入悬空时输出是什么状态 A:低电平 B:高电平 C:高阻态 D:不确定

数字逻辑课后习题答案

习题五 5.1 分析图5.35所示的脉冲异步时序电路。 解:各触发器的激励方程和时钟方程为: 1K J 11==;1K ,Q J 232==;1K ,Q Q J 3323== CP CP 1=;132Q CP CP == ∴各触发器的状态方程为: 11n 1Q Q =+ (CP 的下降沿触发); 321n 2 Q Q Q =+ (Q 1的下降沿触发); 3 21 n 3Q Q Q =+ (Q 1的下降沿触发) 该电路是一能自启动的六进制计数器。 5.2 已知某脉冲异步时序电路的状态表如表5.29所示,试用D 触发器 和适当的逻辑门实现该状态表描述的逻辑功能。 解:表5.29所示为最小化状态表。根据状态分配原则,无“列”相邻 (行相邻在脉冲异步时序电路中不适用。),在“输出” 相邻中,应 给AD 、AC 分配相邻代码。取A 为逻辑0,如下卡诺图所示,状态赋 值为:A=00,B=11;C=01;D=10。于是,二进制状态表如下,根据 D 触发器的激励表可画出CP 2、D 2、CP 1、D 1、Z 的卡诺图,得到激励函数和输出函数,以及画出所设计的脉冲异步时序电路。

得激励方程和输出方程: 22x CP =; 32212x x Q x D ++=; 3221x x Q CP +=; 31211x Q x Q D +=; )Q Q (x Q x Q x Z 2 132313+=+=。 5.3 设计一个脉冲异步时序电路,该电路有三个输入端x 1、x 2和x 3,一个输出端Z 。仅当输入序列x 1-x 2-x 3出现时,输出Z 产输出脉冲,并且与输入序列的最后一个脉冲重叠。试作出该电路的原始状态图和状态表。 解:

数字逻辑第三章课后答案

3-1 首先进行逻辑抽象。题目中输入为10个十进制数据,设为I 0到I 9。输出为四位的8421码,设为Y 0到Y 3。由此得系统框图为: 接着进行逻辑分析。假设输入数据中,I 9的优先权最高,I 0最低。则真值表为: 根据真值表,得逻辑函数表达式为: 899893I I I I I Y +=?+= 9 849859869879876549876598769872I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I Y ??+??+??+??=?????+????+???+??= 9 854329854398698798765432987654398769871I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I Y ?????+????+??+??=???????+??????+???+??= 8 6421864386587998765432198765439876598790I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I Y ????+???+??+?+=????????+??????+????+??+= 最后,采用数据流描述方法,根据逻辑函数表达式,得VHDL 描述的程序: 实体: library IEEE;

use IEEE.std_logic_1164.all; entity encoder8421 is port( I: in std_logic_vector(0 to 9); Y: out std_logic_vector(3 downto 0) ); end encoder8421; 结构体: architecture encoder8421a of encoder8421 is signal tmp1,tmp2,tmp3: std_logic; begin Y(3)<=I(8) or I(9); tmp1<=(not I(8)) and (not I(9)); Y(2)<=(I(7) or I(6) or I(5) or I(4)) and tmp1; tmp2<=(not I(4)) and (not I(5)); Y(1)<=( I(7) or I(6) or (I(3) and tmp2) or (I(2) and (not I(3)) and tmp2)) and tmp1; tmp3<=(not I(8)) and (not I(6)); Y(0)<=I(9) or (I(7) and (not I(8))) or (I(5) and tmp3) or (I(3) and (not I(4)) and tmp3) or (I(1) and (not I(2)) and (not I(4)) and tmp3); end encoder8421a; 3-3 首先进行逻辑抽象。题目中输入为一组4位的二进制数,设为A3 A2A1A0。4位二进制代码从0000~1111共16个码字,因此,输出是输入对应的16个信号,用Y15~Y0表示。由此得系统框图为: A A 15 0 接着进行逻辑分析。当译码器的输入是0000时,Y0有效,输入是0001时,Y1有效。依次类推,得到对应的真值表:

数字逻辑课本习题答案

习题五 1. 简述时序逻辑电路与组合逻辑电路的主要区别。 解答 组合逻辑电路:若逻辑电路在任何时刻产生的稳定输出值仅仅取决于该时刻各输入值的组合,而与过去的输入值无关,则称为组合逻辑电路。组合电路具有如下特征: ①由逻辑门电路组成,不包含任何记忆元件; ②信号是单向传输的,不存在任何反馈回路。 时序逻辑电路:若逻辑电路在任何时刻产生的稳定输出信号不仅与电路该时刻的输入信号有关,还与电路过去的输入信号有关,则称为时序逻辑电路。时序逻辑电路具有如下特征: ○1电路由组合电路和存储电路组成,具有对过去输入进行记忆的功能; ○2电路中包含反馈回路,通过反馈使电路功能与“时序”相关; ○3电路的输出由电路当时的输入和状态(过去的输入)共同决定。 2. 作出与表1所示状态表对应的状态图。 表1 状态表 现态y2 y1 次态y2 ( n+1)y1(n+1) /输出Z x2x1=00x2x1=01x2x1=11x2x1=10 A B C D B/0 B/0 C/0 A/0 B/0 C/1 B/0 A/1 A/1 A/0 D/0 C/0 B/0 D/1 A/0 C/0

解答 根据表1所示状态表可作出对应的状态图如图1所示。 图1 3. 已知状态图如图2所示,输入序列为x=,设初始状态为A,求状态和输出响应序列。 图2 解答 状态响应序列:A A B C B B C B 输出响应序列:0 0 0 0 1 0 0 1

4. 分析图3所示逻辑电路。假定电路初始状态为“00”,说明该电路逻辑 功能 。 图 3 解答 ○1 根据电路图可写出输出函数和激励函数表达式为 x K x,J ,x K ,xy J y xy Z 111121 2===== ○2 根据输出函数、激励函数表达式和JK 触发器功能表可作出状态表如表2所示, 状态图如图4所示。 表2 图4 现态 y 2 y 1 次态 y 2( n+1)y 1(n+1)/输出Z x=0 x=1 00 01 10 11 00/0 00/0 00/0 00/0 01/0 11/0 11/0 11/1

数字逻辑课程三套作业及答案资料

数字逻辑课程作业A 、单选题。 1.(4 分)如图xl-229 某一译码器的输出端共有臼种不的组颌U其输入端備几个输入线? (A)3;(B J4;(0)5; 1D16 A.(A) B.(B) C.(C) D.(D) 知识点:第五章 解析第五章译码器 2.(4 分)如图xl-82 F图所示河一逻辑电路,八"是输入端,F是输出端,则其输出与输入关系式是, {AiiA+B}iC+ DiE; .B^A+B+C+p-FE); iC) (A +云)QO+童); (D)AB[CD+Ei (C ) A.(A) B.(B)

C.(C)

D.(D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为( A.N B.2N C.N2次方 D.2N次方 知识点:第九章解析第九章计数器 4.(4分)n个触发器构成的扭环型计数器中,无效状态有( B. B.2n C.C. 2n —1 D. D . 2n-2n 知识点:第九章 解析第九章集成计数器 5.(4 分)如图X1-293D )的计数器。 D )个。

在数字系统中其信号系 仅貝E与即高电位与低电位两种: 迢】依电压犬小不等而定; 依电流大小不等而定; ①〕看需要而定 A.(A) B.(B) C.(C) D.(D) 知识点:第十一章解析第十一章数字系统概述 6.(4 分)如图X1-317 和项#只式的基本架构矢何? | A A'A ND—MAXD ? IB i A XD—OR;(Q AND ― A.(A) B.(B) C.(C) D.(D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4 分)EPROM 是指( C ) A.A、随机读写存储器 B. B、只读存储器 C.C、光可擦除电可编程只读存储器R? (DiO罠一AND (D )

数字逻辑答案

数字逻辑答案 2. 4 (2) F =[A B AC C(D E)] E F =[AB AC C(D E)] E (4)F = A B[(C D)E G] F =A B[(C DfE G] 2. 6 (3) F =(A B C )(A B)(A B C ^(A B )(A B) =B (4) F =BC D D(B C)(AC B) =BC D (B C)(AC B) =AC B D 2. 8 解:用卡诺图化简法求函数F的最简“与-或”(“或-与”)表达式,只要按照画卡诺圈的原则,用合适的卡诺圈包围F卡诺图中的所有1 ( 0)方格,然后写出各卡诺圈对应的与(或) 项,再相或(与)。 (1) F(代B,C,D) =AB+ACD +AC+BC = AB+AC+BC 二AB AC BC =(A B C)(A B C) (2)F(A,B,C, D^BC D D(B C)(AD B) =BC D AD B = B D (3)F(A,B,C,D)-「M (2,4,6,10,1112,13,14,15) 二AD BC =(A B)(A C)(B D)(C D) 3 00011110 00p n F0 0111 J i0 11p L i TH 10LM0丄CD 、 □ 1 1 0001li10 0n l0 r 11 J11b 0U I0 ⑵ B 00011110 T T00iHT J1J w011 j i0Cl 000Q (3) 00 01 11 10

闾S TS. 52电賂及有黄册3. 13 3. 14 Q;1二 D 二 A 二 B Q;1T Q2= AB 二Q2 - Q 2 (AB 二 0) 3. 15 J1 = K1 =1 ,Q;1二JQ K1Q1 =Q1 Q2 =1时,Q1复位 K2 =1 .Q21= J2Q2 K2Q2 二Q Q2 CP A a) 第三章 3. 9 Gl I I . ■■I I n_nnKjj^i_ ____ ―L_rL_r_r_r CP ?T3. 53电路及有关波形 J2 二 CP rO 匚1 ⑹ n (c 图丁空血电路強有美波形

数字逻辑设计第三章

Chapter 3 Digital Circuits
Study emphases 1 Switch characteristics of semiconductor diode, transistor and field-effect transistor 2 To analyze the static , dynamic characteristics and equivalent input-output models of logic circuit composed by CMOS inverter .
1

Chapter 3 Digital Circuits
To know about 1 Special input, output structures:CMOS transmission gates schmitt-trigger inputs threestate outputs open-drain outputs. 2 Other logic circuits:TTL ECL 3 The input and output logic level criterion values of logic circuits of different kinds and different supply voltages , and their interfacing questions .
2

? 3.1 Logic Signals and Gates
Digital logic: positive logic and negative logic How to get high level and low level?
Whether does High level map 0 or 1? HIGH Vcc R VOUT
1
0 HIGH
VIN
LOW 0
Positive logic
1
LOW
Negative logic
Principle of getting high level and low level
3
Not often used

数字电子技术基础第三版第三章答案

第三章组合逻辑电路 第一节重点与难点 一、重点: 1.组合电路的基本概念 组合电路的信号特点、电路结构特点以及逻辑功能特点。 2.组合电路的分析与设计 组合电路分析是根据已知逻辑图说明电路实现的逻辑功能。 组合电路设计是根据给定设计要求及选用的器件进行设计,画出逻辑图。如果选用小规模集成电路SSI,设计方法比较规X且容易理解,用SSI设计是读者应掌握的最基本设计方法。由于设计电路由门电路组成,所以使用门的数量较多,集成度低。 若用中规模集成电路MSI进行设计,没有固定的规则,方法较灵活。 无论是用SSI或MSI设计电路,关键是将实际的设计要求转换为一个逻辑问题,即将文字描述的要求变成一个逻辑函数表达式。 3.常用中规模集成电路的应用 常用中规模集成电路有加法器、比较器、编码器、译码器、数据选择器和数据分配器等,重要的是理解外部引脚功能,能在电路设计时灵活应用。 4.竞争冒险现象 竞争冒险现象的产生原因、判断是否存在竞争冒险现象以及如何消除。 二、难点: 1.组合电路设计 无论是用SSI还是用MSI设计电路,首先碰到的是如何将设计要求转换为逻辑问题,得到明确的真值表,这一步既是重点又是难点。总结解决这一难点的方法如下: (1)分析设计问题的因果关系,分别确定输入变量、输出变量的个数及其名称。 (2)定义逻辑变量0、1信号的含义。无论输入变量、输出变量均有两个状态0、1,这两个状态代表的含义由设计者自己定义。 (3)再根据设计问题的因果关系以及变量定义,列出真值表。 2.常用组合电路模块的灵活应用 同样的设计要求,用MSI设计完成后,所得的逻辑电路不仅与所选芯片有关,而且还与设计者对芯片的理解及灵活应用能力有关。读者可在下面的例题和习题中体会。 3.硬件描述语言VHDL的应用 VHDL的应用非常灵活,同一个电路问题可以有不同的描述方法,初学者可以先仔细阅读已有的程序实例,再自行设计。 三、考核题型与考核重点 1.概念与简答 题型1为填空、判断和选择; 题型2为叙述基本概念与特点。 建议分配的分数为3~6分。

数字逻辑试卷及答案

1、试分析图示电路的逻辑功能,列出真值表写出逻辑函数式。(15分) 2、三个人在做翻手掌游戏,当有一位出 掌信息(掌心、掌背)与其他两位不同时, 该位出局。请按组合逻辑电路设计的步骤, 写出表示所有出局可能的函数表达式。(15分) 3、请分别说明A/D与D/A转换器的作用, 说明它们的主要技术指标,并进一步说明 在什么情况下必须在A/D转换器前加采样·保持电路。(15分) 4、分析下图所示电路的逻辑功能(写出表达式,列真值表描述功能)。(15分) A B C Y1 Y2 5、试用适当容量的PROM实现两个两位二进制数比较的比较器。(20分) 6、采用CT74161设计一个模9计数器。(20分)

1、[解 由真值表得逻辑函数式 01 =+=+SR Q R S Q n n 2、答:三人命名为A 、B 、C ,掌心为1,掌背为0,F 是输出函数,为1表示有人出局。 则有如下真值表。 由真值表可得输出函数)C B A )(C B A (F ++++=。 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标,并进一步说明在什么 情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换成模拟量,通过这样 的转换电路,能够将模拟系统和数字系统联系起来,实现对模拟系统的检测、监视和控制。A/D 与D/A 转换器的主要技术指标分别为转换进度和转换时间。因为A/D 转换需要一定的时间,当在这段时间里,被转换的信号发生改变,将使转换结果不准确,必须将要转换时刻的模拟量保持下来,确保转换期间该值的稳定。

《数字逻辑》(第二版)习题答案

第一章 1. 什么是模拟信号?什么是数字信号?试举出实例。 模拟信号-----指在时间上和数值上均作连续变化的信号。例如,温度、压力、交流电压等信号。 数字信号-----指信号的变化在时间上和数值上都是断续的,阶跃式的,或 者说是离散的,这类信号有时又称为离散信号。例如,在数 字系统中的脉冲信号、开关状态等。 2. 数字逻辑电路具有哪些主要特点? 数字逻辑电路具有如下主要特点: ●电路的基本工作信号是二值信号。 ●电路中的半导体器件一般都工作在开、关状态。 ●电路结构简单、功耗低、便于集成制造和系列化生产。产品价格低 廉、使用方便、通用性好。 ●由数字逻辑电路构成的数字系统工作速度快、精度高、功能强、可 靠性好。 3. 数字逻辑电路按功能可分为哪两种类型?主要区别是什么? 根据数字逻辑电路有无记忆功能,可分为组合逻辑电路和时序逻辑电路两类。组合逻辑电路:电路在任意时刻产生的稳定输出值仅取决于该时刻电路输入值的组合,而与电路过去的输入值无关。组合逻辑电路又可根据 输出端个数的多少进一步分为单输出和多输出组合逻辑电路。时序逻辑电路:电路在任意时刻产生的稳定输出值不仅与该时刻电路的输入值有关,而且与电路过去的输入值有关。时序逻辑电路又可根据电 路中有无统一的定时信号进一步分为同步时序逻辑电路和异 步时序逻辑电路。 4. 最简电路是否一定最佳?为什么? 一个最简的方案并不等于一个最佳的方案。最佳方案应满足全面的性能 指标和实际应用要求。所以,在求出一个实现预定功能的最简电路之后,往往要根据实际情况进行相应调整。 5. 把下列不同进制数写成按权展开形式。 (1) (4517.239)10 (3) (325.744)8 (2) (10110.0101)2 (4) (785.4AF)16 解答(1)(4517.239)10 = 4×103+5×102+1×101+7×100+2 ×10-1+3×10-2+9×10-3 (2)(10110.0101)2= 1×24+1×22+1×21+1×2-2+1×2-4

数字逻辑课程作业答案

数字逻辑课程作业_A 交卷时间:2016-05-04 16:55:11 一、单选题 1. (4分)如图x1-275 A. (A) B. (B) C. (C) D. (D) 纠错 得分:0 知识点:第一章 收起解析 答案D 解析第一章补码 2. (4分)以下电路中常用于总线应用的有() A. TSL门门 C. 漏极开路门与非门 纠错 得分:0 知识点:第三章 收起解析 答案A 解析第三章其他类型的TTL与非门电路 3. (4分)如果异步二进制计数器的触发器为10个,则计数状态有()种 A. A:20 B. B:200

C. C:1000 D. D:1024 纠错 得分:0 知识点:第九章 收起解析 答案D 解析第九章计数器 4. (4分)用n个触发器构成的计数器,可得到的最大计数模是() A. (A) n B. (B) 2n C. (C) 2n D. (D)2n-1 纠错 得分:4 知识点:第六章 收起解析 答案C 解析第六章触发器电路结构和工作原理 5. (4分)如图x1-109 A. (A) B. (B) C. (C) D. (D) 纠错 得分:0 知识点:第四章

收起解析 答案C 解析第四章组合逻辑电路的分析6. (4分)如图x1-229 A. (A) B. (B) C. (C) D. (D) 纠错 得分:0 知识点:第五章 收起解析 答案D 解析第五章译码器 7. (4分)如图x1-218 A. (A) B. (B) C. (C) D. (D) 纠错 得分:0 知识点:第十一章 收起解析 答案C 解析第十一章数字系统概述 8. (4分)化简如图h-d-1-22

数字逻辑课后答案 第三章

第三章 时序逻辑 1.写出触发器的次态方程,并根据已给波形画出输出 Q 的波形。 解: 2. 说明由RS 触发器组成的防抖动电路的工作原理,画出对应输入输出波形 解: 3. 已知JK 信号如图,请画出负边沿JK 触发器的输出波形(设触发器的初态为0) 1 )(1 =+++=+c b a Q a c b Q n n

4. 写出下图所示个触发器次态方程,指出CP 脉冲到来时,触发器置“1”的条件。 解:(1),若使触发器置“1”,则A 、B 取值相异。 (2),若使触发器置“1”,则A 、B 、C 、D 取值为奇数个1。 5.写出各触发器的次态方程,并按所给的CP 信号,画出各触发器的输出波形(设初态为0) 解: 6. 设计实现8位数据的串行→并行转换器。 B A B A D +=D C B A K J ⊕⊕⊕= = Q A Q B Q D Q C Q E Q F Q

7. 分析下图所示同步计数电路 解:先写出激励方程,然后求得状态方程 状态图如下: 该计数器是五进制计数器,可以自启动。8. 作出状态转移表和状态图,确定其输出序列。解:求得状态方程如下 故输出序列为:00011

9. 用D 触发器构成按循环码(000→001→011→111→101→100→000)规律工作的六进制同步计数器 解:先列出真值表,然后求得激励方程 PS NS 输出 N 0 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 1 1 1 0 1 1 1 1 0 1 0 1 0 1 1 0 0 0 1 0 0 0 0 0 1 化简得: 逻辑电路图如下: n Q 2 n Q 1 n Q 0 1 2 +n Q 1 1 +n Q 1 +n Q n n n n n n n n n n n n Q Q Q Q Q Q Q Q Q Q Q Q Z 1 21 211 0211 202+==+==+++n n n n n n n n n n Q Q Q D Q Q Q D Q Q Q Q D 1 2 10 2111 2 1 122 +====+==+++

数字逻辑课程三套作业及答案

数字逻辑课程作业_A 一、单选题。 1.(4分)如图x1-229 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第五章 解析第五章译码器 2.(4分)如图x1-82 (C)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 A. N B. 2N C. N2次方 D. 2N次方 知识点:第九章 解析第九章计数器 4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。

A. A. n B. B.2n C. C.2n-1 D. D.2n-2n 知识点:第九章 解析第九章集成计数器 5.(4分)如图x1-293 (A)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 6.(4分)如图x1-317 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4分)EPROM是指(C)。 A. A、随机读写存储器 B. B、只读存储器 C. C、光可擦除电可编程只读存储器 D. D、电可擦可编程只读存储器 知识点:第十章 解析第十章只读存储器

8.(4分)如图x1-407 (B)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 9.(4分)为实现将JK触发器转换为D触发器,应使(A)。 A. J=D,K=D非 B. B. K=D,J=D非 C. C.J=K=D D. D.J=K=D非 知识点:第六章 解析第六章各种触发器的比较 10.(4分)一位8421BCD码计数器至少需要(B)个触发器。 A. 3 B. B.4 C. C.5 D. D.10 知识点:第九章 解析第九章计数器 11.(4分)为把50Hz的正弦波变成周期性矩形波,应当选用(A)。 A. A、施密特触发器 B. B、单稳态电路 C. C、多谐振荡器 D. D、译码器 知识点:第六章 解析第六章集成触发器 12.(4分)下列描述不正确的是(A)。 A. a.D触发器具有两个有效状态,当Q=0时触发器处于0态 B. b.移位寄存器除具有数据寄存功能外还可构成计数器 C. c.主从JK触发器的主触发器具有一次翻转性 D. d.边沿触发器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现

相关主题
文本预览
相关文档 最新文档