当前位置:文档之家› 触发器格式

触发器格式

触发器格式
触发器格式

触发器格式

SQL触发器语法语法

CREATE TRIGGER trigger_name

ON { table | view }

[ WITH ENCRYPTION ]

{

{ { FOR | AFTER | INSTEAD OF } { [ INSERT ] [ DELETE ] [ UPDATE ] }

[ WITH APPEND ]

[ NOT FOR REPLICATION ]

AS

[ { IF UPDATE ( column )

[ { AND | OR } UPDATE ( column ) ]

[ ...n ]

| IF ( COLUMNS_UPDATED ( ) updated_bitmask )

column_bitmask [ ...n ]

} ]

sql_statement [ ...n ]

}

}

参数

trigger_name

是触发器的名称。触发器名称必须符合标识符规则,并且在数据库中必须唯一。可以选择是否指定触发器所有者名称。

Table | view

是在其上执行触发器的表或视图,有时称为触发器表或触发器视图。可以选择是否指定表或视图的所有者名称。

WITH ENCRYPTION

加密 syscomments 表中包含 CREATE TRIGGER 语句文本的条目。使用 WITH ENCRYPTION 可防止将触发器作为 SQL Server 复制的一部分发布。

AFTER

指定触发器只有在触发 SQL 语句中指定的所有操作都已成功执行后才激发。所有的引用级联操作和约束检查也必须成功完成后,才能执行此触发器。

如果仅指定 FOR 关键字,则 AFTER 是默认设置。

不能在视图上定义 AFTER 触发器。

INSTEAD OF

指定执行触发器而不是执行触发 SQL 语句,从而替代触发语句的操作。

在表或视图上,每个 INSERT、UPDATE 或 DELETE 语句最多可以定义一个INSTEAD OF 触发器。然而,可以在每个具有 INSTEAD OF 触发器的视图上定义视图。

INSTEAD OF 触发器不能在 WITH CHECK OPTION 的可更新视图上定义。如果向指定了 WITH CHECK OPTION 选项的可更新视图添加 INSTEAD OF 触发器,SQL Server 将产生一个错误。用户必须用 ALTER VIEW 删除该选项后才能定义 INSTEAD OF 触发器。

{ [DELETE] [,] [INSERT] [,] [UPDATE] }

是指定在表或视图上执行哪些数据修改语句时将激活触发器的关键字。必须至少指定一个选项。在触发器定义中允许使用以任意顺序组合的这些关键字。如果指定的选项多于一个,需用逗号分隔这些选项。

对于 INSTEAD OF 触发器,不允许在具有 ON DELETE 级联操作引用关系的表上使用DELETE 选项。同样,也不允许在具有 ON UPDATE 级联操作引用关系的表上使用UPDATE 选项。

WITH APPEND

指定应该添加现有类型的其它触发器。只有当兼容级别是 65 或更低时,才需要使用该可选子句。如果兼容级别是 70 或更高,则不必使用 WITH APPEND 子句添加现有类型的其它触发器(这是兼容级别设置为 70 或更高的 CREATE TRIGGER 的默认行为)。有关更多信息,请参见 sp_dbcmptlevel。

WITH APPEND 不能与 INSTEAD OF 触发器一起使用,或者,如果显式声明 AFTER 触发器,也不能使用该子句。只有当出于向后兼容而指定 FOR 时(没有 INSTEAD OF 或AFTER),才能使用 WITH APPEND。以后的版本将不支持 WITH APPEND 和 FOR(将被解释为 AFTER)。

NOT FOR REPLICATION

表示当复制进程更改触发器所涉及的表时,不应执行该触发器。

AS

是触发器要执行的操作。

sql_statement

是触发器的条件和操作。触发器条件指定其它准则,以确定 DELETE、INSERT 或UPDATE 语句是否导致执行触发器操作。

当尝试 DELETE、INSERT 或 UPDATE 操作时,Transact-SQL语句中指定的触发器操作将生效。

触发器可以包含任意数量和种类的 Transact-SQL 语句。触发器旨在根据数据修改语句检查或更改数据;它不应将数据返回给用户。触发器中的 Transact-SQL 语句常常包含控制流语言。CREATE TRIGGER 语句中使用几个特殊的表:

* deleted 和 inserted 是逻辑(概念)表。这些表在结构上类似于定义触发器的表(也就是在其中尝试用户操作的表);这些表用于保存用户操作可能更改的行的旧值或新值。例如,若要检索 deleted 表中的所有值,请使用:

SELECT *

FROM deleted

* 如果兼容级别等于 70,那么在 DELETE、INSERT 或 UPDATE 触发器中,SQL Server 将不允许引用 inserted 和 deleted 表中的 text、ntext 或 image 列。不能访问 inserted 和deleted 表中的 text、ntext 和 image 值。若要在 INSERT 或 UPDATE 触发器中检索新值,请将 inserted 表与原始更新表联接。当兼容级别是 65 或更低时,对 inserted 或 deleted 表中允许空值的text、ntext 或 image 列,将返回空值;如果这些列不可为空,则返回零长度字符串。

当兼容级别是 80 或更高时,SQL Server 允许在表或视图上通过 INSTEAD OF 触发器更新 text、ntext 或 image 列。

n

是表示触发器中可以包含多条 Transact-SQL 语句的占位符。对于 IF UPDATE (column) 语句,可以通过重复 UPDATE (column) 子句包含多列。

IF UPDATE (column)

测试在指定的列上进行的 INSERT 或 UPDATE 操作,不能用于 DELETE 操作。可以指定多列。因为在 ON 子句中指定了表名,所以在 IF UPDATE 子句中的列名前不要包含表名。若要测试在多个列上进行的 INSERT 或 UPDATE 操作,请在第一个操作后指定单独的UPDATE(column) 子句。在 INSERT 操作中 IF UPDATE 将返回 TRUE 值,因为这些列插入了显式值或隐性 (NULL) 值。

说明 IF UPDATE (column) 子句的功能等同于 IF、IF...ELSE 或 WHILE 语句,并且可以使用 BEGIN...END 语句块。有关更多信息,请参见控制流语言。

可以在触发器主体中的任意位置使用 UPDATE (column)。

column

是要测试 INSERT 或 UPDATE 操作的列名。该列可以是 SQL Server 支持的任何数据类型。但是,计算列不能用于该环境中。有关更多信息,请参见数据类型。

IF (COLUMNS_UPDATED())

测试是否插入或更新了提及的列,仅用于 INSERT 或 UPDATE 触发器中。COLUMNS_UPDATED 返回 varbinary 位模式,表示插入或更新了表中的哪些列。

COLUMNS_UPDATED 函数以从左到右的顺序返回位,最左边的为最不重要的位。最左边的位表示表中的第一列;向右的下一位表示第二列,依此类推。如果在表上创建的触发器包含 8 列以上,则 COLUMNS_UPDATED 返回多个字节,最左边的为最不重要的字节。在 INSERT 操作中 COLUMNS_UPDATED 将对所有列返回 TRUE 值,因为这些列插入了显式值或隐性 (NULL) 值。

可以在触发器主体中的任意位置使用 COLUMNS_UPDATED。

bitwise_operator

是用于比较运算的位运算符。

updated_bitmask

是整型位掩码,表示实际更新或插入的列。例如,表 t1 包含列 C1、C2、C3、C4 和

C5。假定表 t1 上有 UPDATE 触发器,若要检查列 C2、C3 和 C4 是否都有更新,指定值14;若要检查是否只有列 C2 有更新,指定值 2。

comparison_operator

是比较运算符。使用等号 (=) 检查 updated_bitmask 中指定的所有列是否都实际进行了更新。使用大于号 (>) 检查 updated_bitmask 中指定的任一列或某些列是否已更新。

column_bitmask

是要检查的列的整型位掩码,用来检查是否已更新或插入了这些列。

小实例

create trigger trlone on card for update

as

if update(id)

begin

update user1 set cardid=(select id from inserted)

where cardid=(select id from deleted)

end

update card set id='6' where id='1'

==========================================

create trigger trlone on card for delete

as

delete user1 from user1 u, deleted d

where u.cardid=d.id

delete card where id='1'

================================

create trigger trlone on card for insert

as

begin

insert into user1 (id,[name])

select id,[name] from inserted

end

insert into card (id,[name])values(23,12)

select * from user1

--declare @id int,@name nvarchar(50)

--select @id=id,@name=[name] inserted

--insert into user1(id,[name])values(@id,@name)

===============

create trigger trlone on card for insert

as

begin

declare @id int,@name nvarchar(50)

select @id=id,@name=[name] from inserted insert into user1(id,[name]) values(@id,@name) end

insert into card(id,[name]) values('13','13')

z123456

触发器教案

文化理论课教案 2009 —2010 学年度第一学期授课日期:2009 年11 月19 日

备注教学过程 一、课前回顾3min 提问上节课所讲的基本RS锁存器的电路组成以及逻辑功能 即:1.基本RS锁存器的电路组成由两个或非门交叉连接,其输出端为一对互反的量 2.其逻辑功能有置1、置0、保持。约束条件是RS=0 二、新课讲授 1、导入新课2min 为了调动学生学习的积极性和自觉性,激发学生对本节课的学习兴趣,利用对比教学法使学生 对基本RS触发器的电路组成有一个基本的的认识: 通过分析电路图及前面所讲与非门逻辑电路的功能,使其学生能够自主分析其逻辑功能。 2、讲授新课 A 基本RS触发器15min ⑴根据电路图以老师为辅、学生为主的教学设想使其学生自己能够分析基本RS触发器的逻 辑功能,并能初步掌握其逻辑功能的各种表达式,从其表达式中总结其约束条件及其特点。

同步RS 触发器的电路图 逻辑符号 ⑵利用对比的教学思想再一次将同步RS 触发器的电路图与基本RS 的电路图进行对比分析。 经过对比后写出其真值表,然后列写特征方程。 ①当CP=0, R ′=S ′=1时,Q 与 保持不变. ②当CP=1, R ′= RCP, S ′=SCP , 保持Q 0 01Q 置1置0不定 n 10× 0 11 01 1 111 功能n +1R S CP 说明:同步RS 触发器的CP 脉冲、R 、S 均为高电平有效,触发器状态才能改变。与基本RS 触发器相比,对触发器增加了时间控制,但其输出的不定状态直接影响触发器的工作质量。 ③特征方程 Q n+1=S+RQ n RS=0 (约束条件) ⑶总和前面所讲内容总结其特点:

江苏中职触发器练习题

一、填空题 1. 基本RS触发器,当R、S都接高电平时,该触发器具有____ ___功能。 2.D 触发器的特性方程为___ ;J-K 触发器的特性方程为______。 3.T触发器的特性方程为。 4.仅具有“置0”、“置1”功能的触发器叫。 5.时钟有效边沿到来时,输出状态和输入信号相同的触发器叫____ _____。 6. 若D触发器的D端连在Q端上,经100 个脉冲作用后,其次态为0,则现态应为。7.JK触发器J与K相接作为一个输入时相当于触发器。 8. 触发器有个稳定状态,它可以记录位二进制码,存储8 位二进制信息需要个触发器。 9.时序电路的次态输出不仅与即时输入有关,而且还与有关。 10. 时序逻辑电路一般由和两部分组成的。 11. 计数器按内部各触发器的动作步调,可分为___ ____计数器和____ ___计数器。 12. 按进位体制的不同,计数器可分为计数器和计数器两类;按计数过程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。13.要构成五进制计数器,至少需要级触发器。 14.设集成十进制(默认为8421码)加法计数器的初态为Q4Q3Q2Q1=1001,则经过5个CP脉冲以后计数器的状态为。 15.欲将某时钟频率为32MHz的CP变为16MHz的CP,需要二进制计数器个。 16. 在各种寄存器中,存放N位二进制数码需要个触发器。 17. 有一个移位寄存器,高位在左,低位在右,欲将存放在该移位寄存器中的二进制数乘上十进制数4,则需将该移位寄存器中的数移位,需要个移位脉冲。 18.某单稳态触发器在无外触发信号时输出为0态,在外加触发信号时,输出跳变为1态,因此其稳态为态,暂稳态为态。 19.单稳态触发器有___ _个稳定状态,多谐振荡器有_ ___个稳定状态。 20.单稳态触发器在外加触发信号作用下能够由状态翻转到状态。 21.集成单稳态触发器的暂稳维持时间取决于。 22. 多谐振荡器的振荡周期为T=tw1+tw2,其中tw1为正脉冲宽度,tw2为负脉冲宽度,则占空比应为_______。

第4章 作业答案

数字电子技术作业答案 班级_________ _ 学号_____ __ 姓名_____________ 第4章 触发器 1.画出图1由或非门组成的基本R -S 触发器输出端Q 、Q 的电压波形,输出入端S D ,R D 的电压波形如图1中所示。(说明:在图1中对齐画出波形即可。可以有必要的分析过程。) 图1 图2 解:见图解1。 图解1 2.试分析图2所示电路的逻辑功能,列出真值表写出逻辑函数式。说明该电路构成什么逻辑功能和结构类型的触发器。 解:图 由真值表得逻辑函数式 01=+=+SR Q R S Q n n ,是RS 逻辑功能的同步结构触发器。 3.在图3(a )电路中,若CP 、S 、R 的电压波形如图3(b )中所示,试画出Q 和Q 端

与之对应的电压波形。设触发器的初始状态为Q = 0。(说明:在图3(b )中对齐画出波形即可。可以有必要的分析过程。) (a ) (b ) 图3 解:见图解3。 图解3 4.若主从结构JK 触发器CP 、D R 、D S 、J 、K 端的电压波形如图4所示,试画出Q 和Q 端与之对应的电压波形。设触发器的初始状态为Q = 0。(说明:在图4中对齐画出波形即可。可以有必要的分析过程。)

解:见图解4。 图解4 5.已知CMOS边沿触发结构JK触发器各输入端的电压波形如图5所示,试画出Q、Q 端对应的电压波形。设触发器的初始状态为Q = 0。(说明:在图5中对齐画出波形即可。可以有必要的分析过程。) 图5 解:见图解5。

图解5 6.图6所示是用CMOS边沿触发器和或非门组成的脉冲分频电路。试画出在一系列CP脉冲作用下,Q1、Q2和Z端对应的输出电压波形。设触发器的初始状态皆为Q = 0。(说明:在图6中对齐画出波形即可。可以有必要的分析过程。写出驱动方程、状态方程。) 图6 解:见图解6。 图解6 7.试画出图7电路在一系列CP信号作用下Q1、Q2、Q3端输出电压的波形,触发器为边沿触发结构,初始状态为Q = 0。(说明:在图7中对齐画出波形即可。可以有必要的分析过程。写出驱动方程、状态方程。) 图7 解:

触发器练习

触发器练习 1.创建一个触发器实现当用户向图书信息表中插入一条图书记录 时,向客户端发送一条提示消息为“插入一条图书记录”。create trigger添加记录 on图书信息 after insert as print'插入一条图书记录' 2.在借阅信息表上创建一个名为借阅册数的触发器,实现学生借书 的册数不能超过两本。 create trigger借阅册数 on借阅信息 after insert as if(select count(*) from借阅信息join inserted on借阅信息.借书证号=inserted.借书证号 where借阅信息.还书日期is null)>2 begin rollback print'该学生借书册数已满,不能再借书了' end

3.在借阅信息表上创建一个名为计算罚金的触发器,实现学生还书 的时候自动计算罚金,借书期限为30天,超期一天罚0.1元。create trigger罚金 on借阅信息 after update as if(select datediff(day,借阅信息.借书日期,借阅信息.还书日期) from借阅信息join inserted on借阅信息.借阅号=inserted.借阅号)>30 update借阅信息 set罚金=(datediff(day,借书日期,还书日期)-30)*0.1 where借阅号=(select借阅号from inserted) 4.创建一个修改触发器,防止用户修改学生信息表的借书证号。create trigger修改学生信息 on学生信息 after update as if update(借书证号) begin print'禁止修改学生的借书证号' rollback

触发器教案(一)

睢宁县职业教育中心教师项目课程教案 授课班级计算机专业计算机授课教师 授课时间编号课时2课时授课名称触发器的概述、基本形式 使用教具 授课目标能力目标能利用所学的触发器功能画出Q 的输出波形 知识目标 1 掌握基本RS触发器的电路结构、工作原理、逻辑功能。 2 掌握同步RS触发器的工作原理、逻辑功能。 3 掌握触发器逻辑功能的表示方法。 情感目标提高学生的参与意识,培养学生良好的学习习惯 教学重点基本概念要正确建立;基本RS触发器的逻辑功能、触发方式。 教学难点 现态、次态、不定状态的正确理解。 课后阅读课后阅读课本 课外作业 与操作课本P 教学后记 本节内容较多、较难,也是本章的基础知识点,学生掌握较容易,运用较熟练。

教学环节 教师 活动学生活动 复习 简单逻辑门电路的逻辑口诀 新课导入 教学内容: 触发器的概述、基本形式 一、触发器的基础知识 1、触发器:具有记忆功能的基本逻辑电路,能存储一位二进制信息 (数字信息)。 2、基本特性: (1)有两个稳态,可分别表示二进制数码0和1,无外触发时可维 持稳态; (2)外触发下,两个稳态可相互转换(称翻转),已转换的稳定状 态可长期保持下来,这就使得触发器能够记忆二进制信息,常用作 二进制存储单元。 4、、触发器的逻辑功能描述: 特性表、激励表(又称驱动表)、特性方程、状态转换图和波形图 (又称时序图) 5、触发器的分类:根据 逻辑功能不同:RS触发器、D触发器、JK触发器、T触发器和触发 器等。 触发方式不同:电平触发器、边沿触发器和主从触发器等。 提问

电路结构不同:基本RS触发器,同步触发器、维持阻塞触发器、主从触发器和边沿触发器等。 二、触发器的基本形式 2.1 基本RS触发器 一、由与非门组成的基本RS触发器 1.电路结构 电路组成:两个与非门输入和输出交叉耦合(反馈延时)。如图4.2.1(a)所示。 逻辑符号:图(b)所示。 2.逻辑功能

触发器同步练习

同步练习 一、填空题 1.具有两个稳定状态并能接收、保持和输出送来的信号的电路叫。 2.1级触发器可以记忆二进制信息,1位二进制信息有2种状态。 3.主从结构的触发器主要用来解决。 4.集成触发器有、和3种结构。 5.触发器功能的表示方法有、、和 。 6.主从结构的JK触发器存在。 7.由与非门构成的基本RS触发器约束条件是。 Q。 8.试填写如表5.7所示的JK触发器特性表中的1+n Q。 9.试填写如表5.8所示的RS触发器特性表中的1+n 10.边沿JK触发器解决了主从JK触发器的问题。11.根据在CP控制下,逻辑功能的不同,常把时钟触发器分为、、、和5种类型。 12.JK触发器的特性方程为。 13.既克服了空翻现象,又无一次变化问题的常用集成触发器有和 两种。 14.维持-阻塞D触发器是在CP 触发,其特性方程为。 15.主从JK触发器克服了钟控电平触发器的毛病,但存在有

问题。 16.同步式时钟触发器是高电平触发方式,它存在 毛病。 17.主从型触发器的一次变化问题是指在CP =1期间,主触发器可能且仅能 而带来的问题。 18.N 级触发器可以记忆 种不同的状态。 19.把JK 触发器转换为T ‘触发器的方法是 。 20.把D 触发器转换为T ‘触发器的方法是 。 二、单向选择题 1.主从JK 触发器是( )。 ①.在CP 上升沿触发 ②.在CP 下降沿触发 ③.在CP =1的稳态下触发 ④.与CP 无关的 2.已知RS 是或非门构成的基本RS 触发器的输入端,则约束条件为( )。 ①.RS =0 ②.R +S =1 ③.RS =1 ④.R +S =0 3.已知R 、S 是2个与非门构成的基本RS 触发器输入端,则约束条件为( )。 ①.R +S =1 ②.R +S =0 ③.RS =1 ④.RS =0 4.若JK 触发器的原状态为0,欲在CP 作用后仍保持为0状态,则激励函数JK 的值应是( )。 ①.J =1,K =1 ②.J =0,K =0 ③.J =0,K =d ④.J =d ,K =d 5.下列电路中,只有( )不能实现n n Q Q =+1 6.T 触发器特性方程( )。 ①.n n n Q T TQ Q +=+1 ②.n n Q T Q =+1 ③.n n n Q T Q T Q +=+1 ④.n n Q T Q =+1 7.如下各触发器电路中,能实现A Q Q n n +=+1功能的电路是( )。 8.维持-阻塞D 触发器是( )

触发器作业

银行的取款机系统 问题: 1、解决银行取款问题:当向交易信息表(transInfo)中插入一条交易信息时,我们应自动更新对应帐户的余额。create trigger trig_transInfo on transInfo For Insert AS declare@type char(4),@outMoney MONEY declare@myCardID char(10),@balance MONEY select@type=transType,@outMoney= transMoney,@myCardID=cardID from inserted If(@type='支取') update bank set CurrentMoney=CurrentMoney -@outMoney where cardID=@myCardID else update bank set

currentMoney=currentMoney+@outMoney where card=@mycardID go 2、当删除交易信息表时,要求自动备份被删除的数据到表backupTable中。 create trigger trig_delete_transInfo on transInfo for delete AS print'开始备份数据,请稍后···' if not exists(select*from sysobjects where name='backupTable') select*INTO bactkupTable from deleted else insert INTO backupTable select*from deleted print'备份数据成功,备份表中的数据为:' select*from backupTable go

基本RS触发器教案

题目:基本RS触发器教案学科:电子技术姓名:封士江 第一节基本RS触发器 [教学内容]:基本RS触发器。 [教学目标]:(1)了解基本RS触发器的电路组成。 (2)掌握基本RS触发器符号、含义及真值表。 (3)理解基本RS触发器的逻辑功能。 [教学重点]:(1)基本RS触发器符号、含义。 (2)基本RS触发器的真值表。 (3)基本RS触发器的逻辑功能。 [教学难点]:基本RS触发器的逻辑功能。 [课型]:新授课。 [教法]:讲述法。 [课时]:二课时。 教学过程 [组织教学]:精神饱满,维持纪律,开始上课。 [回顾总结]:上节课的最后我们对集成触发器做了简单的介绍,我们已经知道触发器是数字逻辑电路中的另一类基本单元电路。触发具备两种稳定 状态,这两种稳定状态可以分别代表二进制数码0和1。如果外加合 适的触发信号,触发器的状态可以相互转化。这种电路的特点是具 有记忆功能。 [引入课题]:利用集成门电路,可以组成各种触发器。今天我们就从基本RS触发器着手,着重学习触发器的组成和逻辑功能。 [板书]:基本RS 触发器 一.电路组成 将两个与非门的输入、输出交叉相连,组成一个基本RS触发器。 [口述]:如下图中(a)所示,图中G1的输出连到G2的输入端,门G2的输出又反过来送到门G1的输入端。其中/R、/S是两个输入端,Q、 /Q是两个输出端。 [板书]: (a)(b) 通常规定Q端的状态为触发器状态。

Q=0 /Q=1时,称触发器处于“0”态: Q=1 /Q=0时,称触发器处于“1”态。 逻辑功能(工作原理) /R=1,/S=1,触发器保持原来状态不变 [口述]:设电路原来状态为Q=0,/Q=1,既触发器为0态。因为G1的一个输入端Q=0,根据与非门“有0出1”的功能,它的输出/Q=1。而门G2 的二个输入端/S、/Q均为1,由与非门“全1出0”的功能,其输出 Q=0。触发器保持原来状态不变。 [互动]:下面我请一位同学来分析一下若原来状态是Q=1,/Q=0,触发器会出现什么样的状态?(学生互动环节过程省略) 结论:不论触发器原来是什么状态,基本RS触发器在/R=1 /S=1时总 保持原来的状态不变。这就是触发器的记忆功能。 [板书]:2./R =0,/S=1,触发器为0态 [口述]:此时,因/R=0,G1的输出/Q=1,而G2的两个输入端/S、/Q全为1,则输出Q=0。触发器为0态,并且与原来状态无关。(从电路组成图 上分析过程省略) [板书]:3./R=1,/S=0,触发器为1态 [口述]:由于/S=0,G2的输出Q=1。这时G1的两个输入端均为1,所以/Q=0。 触发器为1态,同样与原来的状态无关。(从电路组成图上分析过程 省略) [板书]:4./R=0,/S=0,触发器状态不定 [口述]: 这时,Q=1,/Q=1。破坏了前述有关Q与/Q互补的约定,是不允许的。 而且,当/R、/S的低电平触发信号消失后,Q与/Q的状态将是不确 定的。这种情况应当避免。 三.真值表 1.基本RS触发器的电路组成。 2.基本RS触发器的工作原理。 ○1/R=1,/S=1,触发器保持原来状态不变 ○2/R =0,/S=1,触发器为0态 ○3/R=1,/S=0,触发器为1态 ○4/R=0,/S=0,触发器状态不定 3.基本RS触发器的真值表。 五.作业 1.简述RS触发器的逻辑功能。(写到作业本上) 2.预习同步RS触发器的有关知识。

江苏中职触发器练习题

、填空题 1. 基本RS触发器,当R、S都接高电平时,该触发器具有功能。 2. D触发器的特性方程为; J-K触发器的特性方程为。 3. T触发器的特性方程为。 4. 仅具有“置0”、“置1”功能的触发器叫。 5. 时钟有效边沿到来时,输出状态和输入信号相同的触发器叫。 6. 若D触发器的D端连在Q端上,经100个脉冲作用后,其次态为0,则现态应为。 7. JK触发器J与K相接作为一个输入时相当于触发器。 8. 触发器有个稳定状态,它可以记录位二进制码,存储8位二进制信息需要个触发器。 9. 时序电路的次态输出不仅与即时输入有关,而且还与有关。 10. 时序逻辑电路一般由和两部分组成的。 11. 计数器按内部各触发器的动作步调,可分为计数器和计数器。 12. 按进位体制的不同,计数器可分为计数器和计数器两类;按计数过 程中数字增减趋势的不同,计数器可分为计数器、—计数器和计数器。 13. 要构成五进制计数器,至少需要级触发器。 14. 设集成十进制(默认为8421码)加法计数器的初态为 Q4Q3Q2Q1= 1001,则经过5个 CP脉冲以后计数器的状态为—。 15. 欲将某时钟频率为32MHz的CP变为16MHz的CP,需要二进制计数器个。 16. 在各种寄存器中,存放N位二进制数码需要个触发器。 17. 有一个移位寄存器,高位在左,低位在右,欲将存放在该移位寄存器中的二进制数乘上 十进制数4,则需将该移位寄存器中的数移位,需要—个移位脉冲。 18. 某单稳态触发器在无外触发信号时输出为0态,在外加触发信号时,输出跳变为1态, 因此其稳态为态,暂稳态为态。 19. _________________________________________________ 单稳态触发器有个稳定状态,多谐振荡器有______________________________________________ 个稳定状态。

3关于触发器的练习题

《集成触发器》练习题及答案 [4.1]画出图P4.1所示由与非门组成的基本RS触发器输出端Q、Q的电压波形,输入端S、R的电压波形如图中所示。 图P4.1 解: [4.2]画出图P4.2由或非门组成的基本R-S触发器输出端Q、Q的电压波形,输出入端S D,R D的电压波形如图中所示。 图P4.2 解: [4.3]试分析图P4.3所示电路的逻辑功能,列出真值表写出逻 辑函数式。

图P 该电路为同步RS 触发器 [4.4] 图P4.4所示为一个防抖动输出的开关电路。当拨动开关S 时,由于开关触点接触瞬间发生振颤,D S 和D R 的电压波形如图中所示,试画出Q 、Q 端对应的电压波形。 图P4.4 [解]

[4.5]在图P4.5电路中,若CP、S、R的电压波形如图中所示,试画出Q和Q端与之对应的电压波形。假定触发器的初始状态为Q=0。 图P4.5 [4.7]若主从结构RS触发器各输入端的电压波形如图P4.7中所给出,试画Q、Q端对应的电压波形。设触发器的初始状态为Q=0。

图P4.7 解: 图A4.8 [4.9]已知主从结构JK触发器输入端J、K和CP的电压波形如图P4.9所示,试画出Q、Q端对应的电压波形。设触发器的初始状态为Q = 0。

图P4.9 解: [4.11]己知维持阻塞结构D触发器输入端的电压波形如图P4.11所示,试画出Q、Q 端对应的电压波形。 图P4.11 [解] 见图A4.11 图A4.11 [4.15]在图P4.15所示主从结构JK触发器电路中,己知CP和输入信号T的电压波形如图所示,试画出触发器输出端Q和Q的电压波形,设触发器的起始状态为Q=0。

基本RS触发器教案

绍兴市中等专业学校教案

教学过 [复习提问]: 1、与非门的逻辑功能是什么?有0出1,全1出0。 2、在举重比赛中,有三个裁判员A、B、C,当两个或两个以上裁判员 (其中A为主裁判)同时判定通 过,该运动员成绩有效,否则,成绩无效。根据以上情况完成电路设计。 要求每个学生在练习本上设计电路图。由一名学生板演完毕,教师讲评。 3、以上电路属于何种逻辑电路?有何特点?组合逻辑电路。 电路结构由门电路组成,电路中无反馈;输出状态仅决定于当时的输入状态。 追问学生回答:这说明组合逻辑电路不具有记忆功能。 [讲授新课]:具有记忆功能的逻辑电路是时序逻辑电路。 触发器是构成时序逻辑电路的基本逻辑单元部件。 板书:4.1基本RS触发器 演示实验:取一块实验电路板,将两个带有发光二极管的与非门并排插在实验电路板上,用两根导线分别将输出与另一与非门的一输入端相连接。 让学生观察此电路的结构特点:电路具有反馈(两根导线) 。 电路有两个输出端。 板书:一、电路组成与逻辑符号 (b)逻辑符号 它有两个稳定的状态:0状态和1状态;信号输出端,Q=0 Q=1的状态称0状态, Q=1、Q=0的状态称1状态。 输入端的取反符号代表与非门低电平有效 板书:二、工作原理 教师启发学生答出:(并加以演示实验验证,其中输入0为接电源负极,1为接电源 正极;输出为1态发光二极管亮,输出为0态时二极管不亮) R=0 S=1时:由于R=0,不论原来Q为0还是1,都有Q=1;再由S=1、Q=何得Q= 0。即不论触发器 原来处于什么状态都将变成0状态,这种情况称将触发器置0或复位。R端称为触发复位端。 R=1、S=0时:由于S=0,不论C来为0还是1,都有Q=1;再由R=1 Q=何得Q= 0。即不论触发器原 (a)逻辑图

oracle-触发器练习

触发器课堂练习1 1、当向SC表插入数据时,修改(或添加)SC_NUMBER(包括学生学号和选课门数两列) 表格中的数据。 create or replace trigger tr_sc_AR_I after insert on sc for each row begin update sc_number set scnum=scnum+1where sno=:new.sno; if sql%notfound then insert into sc_number values(:new.sno,1); end if; end; 测试结果: 2、当删除SC表中数据时,修改(或添加)SC_NUMBER中的数据。 create or replace trigger tr_sc_AR_D after delete on sc for each row begin update sc_number set scnum=scnum-1where sno=:old.sno; select scnum into pk_trigger.tr_scnum from sc_number where sno=:old.sno; if(pk_trigger.tr_scnum=0) then

delete from sc_number where sno=:old.sno; end if; end; 测试结果: 3、当修改SC表中数据时,若修改的是学号,则对应修改SC_NUMBER表中的选课门数,否则打印“某某 (学生)的学生选课信息已经修改”信息。 create or replace trigger tr_sc_AR_U after update on sc for each row

电子技术基础 作业题8

电子技术基础 作业题8 有答案 仅供参考 一、填空题:(每空0.5分,共20分) 1、两个与非门构成的基本RS 触发器的功能有 清零 、 置1 和 保持 。电路中不允许两个输入端同时为 为低电平 ,否则将出现逻辑混乱。 2、通常把一个CP 脉冲引起触发器多次翻转的现象称为 空翻 ,有这种现象的触发器是 钟控RS 触发器,此类触发器的工作属于 电平 触发方式。 3、为有效地抑制“空翻”,人们研制出了 边沿 触发方式的 主从型JK 触发器和 维持阻塞D 触发器。 4、JK 触发器具有 置0 、 置1 、 保持 和 翻转 四种功能。欲使JK 触发器实现n n Q Q =+1的功能,则输入端J 应接 1 ,K 应接 1 。 5、D 触发器的输入端子有 1 个,具有 置0 和 置1 的功能。 6、触发器的逻辑功能通常可用 功能真值表 、 逻辑函数式 、 状态转换图 和 时序波形图 等多种方法进行描述。 7,时序逻辑电路的基本单元是 触发器 。 8、JK D 9Q =1,Q =0时为触发器的 “1” 状态;Q =0,Q =1时为触发器的 “0” 状态。 RS 触发器,在正常工作时,不允许==S R 0 ,其特征方 R=S= 1 ,其特征方程为 ,约束条件为 SR =0 。 触发器 的两个输入端连在一起 就构成了T 触发器,T 触发器具有的逻辑功能是 保持 和 翻转 。 13、让 T 触发器恒输入“1”就构成了T'触发器,这种触发器仅具有 翻转 功能。 二、正误识别题(每小题1分,共10分) 1、仅具有保持和翻转功能的触发器是RS 触发器。 (错) 2、基本的RS 触发器具有“空翻”现象。 (错) 3、钟控的RS 触发器的约束条件是:R +S=0。 (错) 4、JK 触发器的特征方程是:n n 1n KQ Q J Q +=+。 (错) 5、D 触发器的输出总是跟随其输入的变化而变化。 (对) 6、CP=0时,由于JK 触发器的导引门被封锁而触发器状态不变。 (错) 7、主从型JK 触发器的从触发器开启时刻在CP 下降沿到来时。 (对) 8、触发器和逻辑门一样,输出取决于输入现态。 (错) 9、维持阻塞D 触发器状态变化在CP 下降沿到来时。 (错) 10、凡采用电位触发方式的触发器,都存在“空翻”现象。 (错) 三、选择题(每小题2分,共20分) 1、仅具有置“0”和置“1”功能的触发器是( C )。 A 、基本RS 触发器 B 、钟控RS 触发器

第6章_存储过程与触发器练习题

有教师表(教师号,教师名,职称,基本工资),其中基本工资的取值与教师职称有关。实现这个约束的可行方案是( )。 A 在教师表上定义一个视图 B 在教师表上定义一个存储过程 C 在教师表上定义插入和修改操作的触发器 D 在教师表上定义一个标量函数 参考答案 C 在SQL SERVER中,执行带参数的过程,正确的方法为()。 A 过程名参数 B 过程名(参数) C 过程名=参数 D ABC均可 参考答案 A 在SQL SERVER服务器上,存储过程是一组预先定义并()的Transact-SQL语句。 A 保存 B 解释 C 编译 D 编写 参考答案 C 在SQL Server中,触发器不具有()类型。 A INSERT触发器 B UPDATE触发器 C DELETE触发器 D SELECT触发器 参考答案 D

()允许用户定义一组操作,这些操作通过对指定的表进行删除、插入和更新命令来执行或触发。 A 存储过程 B 规则 C 触发器 D 索引 参考答案 C 为了使用输出参数,需要在CREATE PROCEDURE语句中指定关键字( )。 A OPTION B OUTPUT C CHECK D DEFAULT 参考答案 B 下列( )语句用于创建触发器。 A CREATE PROCEDURE B CREATE TRIGGER C ALTER TRIGGER D DROP TRIGGER 参考答案 B 下列( )语句用于删除触发器。 A CREATE PROCEDURE B CREATE TRIGGER C ALTER TRIGGER D DROP TRIGGER 参考答案 D

数据库作业3

《数据库应用基础》实验报告 触发器 一、实验目的 ⑴理解触发器的概念; ⑵掌握触发器的创建及应用。 二、实验内容: 1 在学生信息表stud_info上创建一个Delete触发器,要求Delete语句在被执行导致触发了触发器时取消删除操作。 2 在表stud_score中建立插入(Insert)触发器, 插入一条记录时,若英语成绩>100或者英语成绩<=0,拒绝插入记录并显示:“成绩不符合规定,无法插入此记录!”; (选作)在表stud_score中建立删除触发器,实现表stud_score和表stud_info的级联删除。 三、实验环境 SQL Server2005、Windows XP 四、程序源码与运行结果 1 use my_db1 go create trigger my_trigger1 on stud_info instead of delete as raiserror('您无权进行删除操作',10,1) 执行下面的命令: delete*from stud_info where stud_id='811' 在查询分析器的结果窗口中将出现报错信息 2 use my_db1 go create trigger my_trigger2 on stud_score for insert《同样能改成instead of》 as begin if(select engl_score from inserted)>100 or(select engl_score from inserted)<=0 begin raiserror('成绩不符合规定,无法插入此记录!',10,1) rollback transaction end

电子教案-电子技术(第5版_付植桐)教学资源42550 第11章仿真实验:RS和D触发器

仿真实验一RS和D触发器 一、实验目的 1.检测或非门RS触发器的逻辑功能三 2.检测与非门RS触发器的逻辑功能三 3.检测D触发器的逻辑功能和时间波形图三 二、实验器材 直流电源一1台;信号发生器一1台;逻辑分析仪一1台;逻辑开关一1个;逻辑探头一1个;2输入与非门一4个;2输入或非门一2个;反相器一1个三 三、实验原理及实验电路 触发器是一种能够存储1位二进制数字信号的基本单元电路三触发器具有两个稳定状态,用来表示逻辑0和1,在输入信号作用下,两个稳定状态可以相互转换,输入信号消失后,建立起来的状态能长期保存下来三 RS触发器是最基本的二进制数存储单元,具有两个输入端R二S和两个输出端三R为复位端(置0),S为置位端(置1)三约定Q的状态为触发器的状态时,触发器的状态为1,反之,状态为0,当S输入有效时Q=1,当R输入有效时Q=0三 D触发器又称为D锁存器,它只有一个输入端D,另外还有一个使能端EN,用来控制是否接收输入信号三当锁存器能接收信号时,输出Q=D;当锁存器不能接收信号时,输出Q将 锁存 原来的状态三 图E11.1所示为用两个或非门构成的RS触发器,这种触发器的输入信号高电平有效,当R=0,S=1时Q=1三当R=S=0时,输出端Q保持原来的状态三当R=1,S=0时,Q=0三R,S同时为1的状态是不允许的三 图E11.1一由两个或非门构成的RS触发器 图E11.2所示为用4个与非门和1个反相器构成的D锁存器三当使能端EN为0时,锁存输入和为1,基本RS触发器被封锁,输出Q保持原来的状态三当使能端EN置1时锁 1

存器的输出Q跟随输入D的变化,使能端置0时输出端Q被 锁存 三 图E11.2一与非门构成的D锁存器 四、实验步骤 1.建立如图E11.1所示的实验电路 这是用两个2输入或非门构成的RS触发器,图中两个逻辑开关可以改变R和S接地或接高电平三单击仿真开关运行动态分析三观察逻辑探头的明暗变化三2.建立如图E11.2所示的实验电路 这是有四个2输入与非门和一个反相器构成的D锁存器,这时逻辑开关D应当置0,使能开关EN置1,单击仿真开关运行动态分析三 五、思考题 1.由或非门构成的RS触发器,输入信号低电平有效还是高电平有效?2.由与非门构成的RS触发器,输入信号低电平有效还是高电平有效?3.根据由非门构成的RS触发器的输入二输出变化,写出这种基本RS触发器的特性方程三 2

最新触发器练习题

触发器练习题 一、填空题 1、触发器具有 个稳定状态,在输入信号消失后,它能保持 。 2、在基本RS 触发器中,输入端D R 或D R 能使触发器处于 状态,输入端 D S 或D S 能使触发器处于 状态。 3、同步RS 触发器状态的改变是与 信号同步的。 4、在CP 脉冲和输入信号作用下,JK 触发器能够具有 、 、 、和 的逻辑功能。 5、对于JK 触发器,当CP 脉冲有效期间,若J=K=0时,触发器状态 ;若K J =时,触发器 或 ;若J=K=1时,触发器状态 。 6、与主从触发器相比, 触发器的抗干扰能力较强。 7、对于JK 触发器,若J=K ,则可完成 触发器的逻辑功能。 8、对于JK 触发器,若K J =,则可完成 触发器的逻辑功能。 二、判断题 1、触发器有两个稳定状态,一个是现态,一个是次态。( ) 2、触发器有两个稳定状态,在外界输入信号的作用下,可以从一个稳定状态转变为另一个稳定状态。( ) 4、同步D 触发器的Q 端和D 端的状态在任何时刻都是相同的。( ) 5、同一逻辑功能的触发器,其电路结构一定相同。( ) 6、仅具有反正功能的触发器是T 触发器。( ) 三、选择题 1、对于触发器和组合逻辑电路,以下( )的说法是正确的。 A 、两者都有记忆能力 B 、两者都无记忆能力 C 、只有组合逻辑电路有记忆能力 D 、只有触发器有记忆能力 2、对于JK 触发器,输入J=0、K=1,CP 脉冲作用后,触发器的1+n Q 应为( )。 A 、0 B 、1 C 、可能是0,也可能是1 D 、与n Q 有关 3、JK 触发器在CP 脉冲作用下,若使n n Q Q =+1,则输入信号应为( )。

实验6 触发器与作业

实验6触发器与作业 姓名:学号: 专业:网络工程班级: 同组人:无实验日期:2013/11/28 【实验目的与要求】 ?理解什么是触发器 ?掌握触发器的设计与使用 ?掌握在Oracle中完成定时作务的方法 【实验内容与步骤】 相关说明:本实验中前面实验创建的触发器可能对后面的实验产生干扰,若出现这种情况,请把前面触发器删除,再完成后面实验。建议每完成一个实验题后,即将该题相关的触发器删除,以免对后面实验产生干扰。 6.0触发器创建与删除语法 1.触发器的创建 触发器是一种在发生数据库事件时自动运行的PL/SQL 语法如下: CREATE [OR REPLACE] TIGGER触发器名触发时间触发事件 ON表名 [FOR EACH ROW] BEGIN pl/sql语句 END 2.触发器的删除 DROP TRIGGER trigger_name 6.1触发器基础 以下程序展示的是触发器的基本使用方法,请阅读并理解以下程序代码,给出运行结果。 1.创建测试表 --drop table employees; create table employees( id number(5),

name varchar2(30), salary number(8,2), job_id varchar2(20) ); 2.创建触发器 CREATE OR REPLACE TRIGGER secure_emp_1 --这里不能有IS BEFORE INSERT ON employees -- 这里没有分号 BEGIN IF (TO_CHAR (SYSDA TE,'DY') IN ('星期六','星期天') OR (TO_CHAR(SYSDATE,'HH24:MI') NOT BETWEEN '08:00' AND '18:00' )) THEN RAISE_APPLICATION_ERROR(-20500,'你只能在工作时间对表进行操作'); END IF; END; / 3.测试触发器 (1)--测试语句 insert into employees values (1,'a',2222,'aaaaa'); 给出测试结果截图: (2)将系统时间修改为周六,再Insert一条记录,给出并比较两次运行的结果。 给出测试结果截图: 6.2使用触发器监控数据更新操作 阅读以下程序,理解程序功能,给出运行测试结果。 1.创建触发器 CREATE OR REPLACE TRIGGER secure_emp_2 BEFORE INSERT OR UPDATE OR DELETE ON employees BEGIN

《触发器》练习

《触发器》练习 1、设计一个简单的AFTER INSERT触发器,这个触发器的作用是:在插入一条记录的时候,发出“又添加了一个学生的成绩”的友好提示。 CREATE TRIGGER score_insert ON score AFTER INSERT AS BEGIN PRINT '又添加了一个学生的成绩' END GO 2、设计一个简单的AFTER UPDATE触发器,这个触发器的作用是:在修改一条记录的时候,发出“又修改了一个学生的成绩”的友好提示。 CREATE TRIGGER score_update ON score AFTER UPDATE AS BEGIN PRINT '又修改了一个学生的成绩' END

GO 3、设计一个简单的AFTER DELETE触发器,这个触发器的作用是:在删除一条记录的时候,发出“又删除了一个学生的成绩”的友好提示。 CREATE TRIGGER score_delete ON score AFTER DELETE AS BEGIN PRINT …又删除了一个学生的成绩' END GO 5、在score表上创建一个instead of insert触发器,实现:当向表score 插入记录时检查分数的合理性,如果不合理就不进行插入操作,否则允许。 create trigger score_insert_instead on score instead of insert as begin set nocount on declare @sname varchar(10),@chinese int,@maths int,@english int

触发器作业

设计一个触发器,该触发器仅允许dbo用户可以删除employee3266表内数据,否则出错。 create trigger employeedelete on employee3266 for delete as if exists(select *from deleted) begin if user!='dbo' begin print '你无权删除!' rollback end end drop trigger employeedelete 测试:(以sa用户) delete from employee3266 where employeeno='E2005001' 测试:(以其他用户) sp_addlogin 'wf','wf'/*——————登录名密码*/ sp_adduser 'wf','wf' grant all privileges on employee3266 to wf; /*退出DBMS,重新以wf身份登入DBMS,执行删除操作*/ delete from employee3266 where employeeno='E2005001' 在ordermaster3266表中创建触发器,插入数据时要先检查employee3266表中是否存在和ordermaster3266表同样值的业务员编号,如果不存在则不允许插入。 create trigger OrderMasterIns on OrderMaster3266 for insert as if not exists(select b.saleNo from employee3266 a,inserted b,ordermaster3266 c where b.saleno=a.employeeNo) begin

JK触发器电路的安装教学设计

课题:JK触发器电路的安装 【设计思路】 《电子基本线路与安装》是电子电工专业的核心课程。以实施项目教学法的要求进行编写。该书是2015年浙江省高职考试电子专业指定教材。根据高职考试改革方案,从今年起,学生的高职考试增加了技能高考环节。通过本课程的学习,旨在培养学生专业知识的应用能力。使学生能学会应用电子基础、电子技术的相关理论知识进行电路创新实验,培养实践技能。 授课班级是高三年级电子专业高职考班级,该班学生的具有一定的理论学习能力和专业动手能力,但缺乏良好的学习习惯,专业知识学习不是很扎实,再加上动手操作方面,学生的个体差异较大,所以教学具有一定的难度。 针对教材的性质和学生学情特点,在教学过程中,我主要结合多媒体技术辅助教学。在引入环节,通过生活中应用实例的讲解,激发学生兴趣;教学中,通过将教学内容细化成若干个教学任务,引导学生开展合作式学习。学生则运用观察法、实验法和讨论法开展学习。 【教学目标】 知识目标: 1、了解JK触发器电路的结构、工作方式; 能力目标: 1、了解CC4027集成电路芯片结构、功能; 2、掌握JK触发器电路的正确安装、调试; 情感目标: 养成科学严谨的学习态度,学会与他人沟通、合作,提高学生的职业素养 【教学任务】 1、创设情景,引入新课 2、讲授新课 (1)JK触发器的结构、功能 (2)认识CC4027 (3)JK触发器电路安装 3、归纳总结 4、作业布置 【课时】 3课时 【教学流程】 环节一:引入 环节二:讲授新课 一、认识JK触发器 1、分类 (1)主从JK触发器:工作方式与主从RS触发器相同。 (2)边沿型JK触发器 2、边沿触发特性:指触发器受时钟脉冲CP的控制方式,只有当CP脉冲发生变化时,输出才根据输入信号产生动作。CP脉冲从变为1时称上升沿,CP脉冲从1变为0时为下降沿。

相关主题
文本预览
相关文档 最新文档