当前位置:文档之家› 高精度频率计设计

高精度频率计设计

摘要

频率测量在电工程中应用广泛,目前市场上产品非常多,该设计重点考虑产品的成本和可靠性问题,通过采用功能芯片简化电路,完成主电路设计,以及显示功能,简化电路后可以较好的改进系统的可靠性。该频率计具有电路结构简单、成本低、测量方便、精度较高等特点,适合测量低频信号。系统简单可靠、操作简易,能基本满足一般情况下测量的需求。既保证了测频精度,又使系统具有较好的实时性。随着电子信息产业的发展,信号作为其最基础的元素,其频率的测量在科技研究和实际应用中的作用日益重要,而且需要测频的围也越来越宽,测频的精度也越来越高。传统的频率计通常采用组合电路和时序电路等大量的硬件电路构成,产品不但体积较大,运行速度慢,而且测量围低,精度低。随着对频率测量的要求的提高,传统的测频的方法在实际应用中已不能满足要求。因此,我们需要寻找一种新的测频的方法。基于FPGA的高精度频率计设计,采用Verilog HDL作为编程语言,使用Quartus2软件对系统的进行仿真与编程,所有频段均采用直接测频法对信号频率进行测量,克服了逼近式换挡速度慢的缺点。

关键词:高精度频率计,FPGA,电子设计自动化

ABSTRACT

Frequency meter is a kind of electronic instrument applied widely. A kind of high-accuracy digital frequency meter is designed based on FPGA in this paper.At present extends the scope,the high accuracy digital frequency meter's design method to use the monolithic integrated circuit to add, the special-purpose counter chip mostly to realize high speed.

The design of system hardware and system software is acplished in the paper. System consists of FGPA and MCU. The circuit based on FPGA includes following some parts: data acquisition module, interface between FPGA and MCU, module scanning number tube. Every circuit module is realized by verilog.The platform of development is Quartus II and all modules procedure is demonstrated by piling and simulation. Detailed research of design flow, model establishment and system simulation is done. The correctness of the system is demonstrated. The software based on MCU is programmed by C51.

In this design takes the systems control by the FPGA ponent the core, its nimble scene alterability, may dispose ability again, is convenient to system's each kind of improvement, in does not change in hardware circuit's foundation also to be possible to further enhance system's performance.The system has the advantage of high-accuracy and convenience. It’s practicability of frequency meter is well.

KEY WORDS: Frequency meter, MCU, FPGA, electronic design automation

摘要I

ABSTRACTII

第1章绪论1

1.1 选题依据及意义1

1.2 本课题研究容1

第2章FPGA与VHDL2

2.1 FPGA简介2

2.2 EP1K 30相关说明3

1.3 VHDL 概述4

第3章频率计的设计原理4

3.1设计要求4

3.2测量方案4

3.3频率计的设计原理及框图6

第4章系统硬件电路的设计7

4.1设计要求8

4.2系统框图8

4.3各模块在电路中的作用9

4.3.1分频器9

4.3.2信号放大、波形整形电路9

4.3.3控制门10

4.3.4计数器10

4.3.5锁存器11

4.3.6显示译码器与数码管12

4.4频率计主体电路原理图12

第5章软件设计14

5.1 VERILOG HDL语言简介14

5.2 FPGA部功能模块设计15

5.3模块的功能16

5.3.1 模块FEN16

5.3.2 模块SEL (17)

5.3.3 核心模块CORNA18

5.3.4 模块LOCK21

5.3.5 模块CH22

5.3.6 模块DISP23

5.4 软件的测试24

5.4.1 测试的环境25

5.4.2 调试和器件编程25

5.4.3 频率测试26

第6章结论与展望28

致29

参考文献29

附录错误!未定义书签。

第1章绪论

1.1 选题依据及意义

频率计是一种基础测量仪器,到目前为止已有30多年的发展史。它是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号、方波信号及其他各种单位时间变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。频率计的基本原理是使用一个频率稳定度较高的频率源作为基准时钟,对比测量其他信号的频率。通常计算每秒待测信号的脉冲个数,我们称此时闸门时间为1秒。闸门时间也可以大于或小于1秒。闸门时间越长,得到的频率值就越准确,但闸门时间越长则每测一次频率的间隔就越长。闸门时间越短,测的频率值刷新就越快,测得的频率精度就受影响。如果配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率,转速,声音的频率以及产品的计件等等。

1.2 本课题研究容

频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。随着人们文化生活水平的提高,加上现在中国国力的上升,人民在不断的追求高质量生活的同时大都在密切的关注着我们的民族产业的发展前景。而频率计的发在虽是一个极小部分但也可以反映出我国民族产业发展的现状。我国在很多的方面都已不是过去那个很贫穷落后的国家,但是关系着我们国计民生的民族产业的发展却是不尽人意,不能不成为今天令人注目的焦点。

本论文主要讲述了使用FPGA实现的数字频率计,它采用VHDL语言编程,用MaxplusII集成开发环境进行波形仿真,编译,并下载到FPGA中。正是因为数字

频率计的应用是如此的广泛,才使得它的作用是如此的重要,所以更应该去关注和研究。

第2章FPGA与VHDL

2.1 FPGA简介

FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。20世纪80年代中期出现的高密度可编程逻辑器件,它一般由布线资源分隔的可编程逻辑单元构成阵列,又由可编程I/O单元围绕阵列构成整个芯片,排列阵列的逻辑单元由布线通道中的可编程连线连接起来实现一定的逻辑功能的。一个FPGA包含大量的具有高速系统速度的逻辑门、寄存器和I/O接口组成。FPGA作为专用集成电路(ASIC)领域里的一种半定制电路而出现,不仅解决了定制电路的不足,而且还克服了原有可编程器件门电路数量有限的缺点。

FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一,其特点主要有:

(1)采用FPGA设计ASIC,不需要投片生产,设计周期短、开发费用低、风险小;

(2)FPGA可做其他全定制或半定制ASIC电路的中试样片;

(3)FPGA部有丰富的触发器和I/O引脚;

(4)FPGA采用高速CHMOS工艺,功耗低,可以与CMOS,TTL电平兼容。

随着可编程逻辑技术的不断进发展和进步,FPGA已被广泛应用于航天、通信、医疗、汽车、工业控制等领域。由于其现场逻辑功能可重构而且具有高集成度、

相关主题
文本预览
相关文档 最新文档