当前位置:文档之家› 数字逻辑设计与VHDL描述

数字逻辑设计与VHDL描述

数字逻辑设计与VHDL描述
数字逻辑设计与VHDL描述

数字逻辑设计与VHDL描述

数字逻辑设计与VHDL描述

第一章逻辑代数基础

1.1数制和码制

1.1.1 进位计数制

进位制:逢基数进一

数符递增达到基数后高位增一,低位复0

数字形式的主要元素是数符和数位

基数 = 数符的个数

第i 个数位代表的位权=基数的i 次幂

一,x进制数的位权展开式:

(N)x =

kn-1xn-1+kn-2xn-2+...+k0x0+k-1x -1+k-2x -2+...+k-mx-m

x:基数(逢x进一)

k :数符

X i :位权

i:位序(小数点前为正,小数点前为负)

例:十进制数的位权展开

(271.59)10=

2×102十7×101十1×100十5×10-1十9×10-2

二,其他进制计数制

1,二进制计数制——逢二进一

基数x: 2

数符b: 0,1 (可以用开关量表示)

位权展开式:(N)2=

bn-12n-1+bn-22n-2+...+b020+b-12-1+b-22-2+...+b-m2-m

例: (1101.101)2=

1×23+1×22+0×21+1×20+1×2-1+0×2-2+1×2-3 =8+4+0+1+0.5+0+0.125 = (13.625)10

2,八进制数和十六进制数

基数数符

八进制 8 0,1,2,3,4,5,6,7

十六进制 16 0,1,2,3,4,5,6,7

8,9,A,B,C,D,E,F

例:

(172.54)8=

1×82+7×81+2×80+5×8-1+4×8-2 =64+56+2+0.625+0.0625 = (122.6875)10 (C07.A4)16= (C07.A4)H= C07.A4H=

12×162+0×161+7×160+10×16-1+4×16-2 =3072+0+7+0.625+0.015625 = (3079.640625)10

3,二进制,八进制,十六进制和十进制的数值关系表

十进制二进制八进制十六进制十进制二进制八进制十六进制

0 0 0 0 8 1000 10 8

1 1 1 1 9 1001 11 9

2 10 2 2 10 1010 12 A

3 11 3 3 11 1011 13 B

4 100 4 4 12 1100 14 C

5 101 5 5 13 1101 15 D

6 110 6 6 14 1110 16 E

7 111 7 7 15 1111 17 F

1.1.2 数制转换

转换条件:数值相等

一,非十进制数转换为十进制数

按权展开求和

二,十进制数转换为非十进制数

整数部分:

除基数取余数,从低位到高位求各位数符直到商为0

小数部分:

乘基数取整数,从高位到低位求各位数符直到小数部分为0或满足精度要求

转换原理:

(N)x=

kn-1xn-1+kn-2xn-2+...+k0x0+k-1x -1+k-2x -2+...+k-mx-m

整数部分※ 小数部分

整数部分: (kn-1xn-1+kn-2xn-2+... +k1x1 +k0x0 ) /x

=(kn-1xn-2+kn-2xn-3+...+k1x0 ) ...... k0

商余数

商/ x =(kn-1xn-3+kn-2xn-4+...+k2x0 ) ...... k1

小数部分: (k-1x -1+k-2x -2+...+k-mx-m ) x

= k-1+ ( k-2x -1+...+k-mx -m+1 )

整数小数

小数×X = k-2+ ( k-3x -1+...+k-mx -m+2 )

三,二进制数和八进制,十六进制数转换

1,八进制—>二进制

根据数值关系表用三位二进制数符逐位替代各位八进制数符.

例: (52.4)8=(101010.1)2

2,十六进制—>二进制

根据数值关系表用四位二进制数符逐位替代各位十六进制数符.

例: (52.4)16=(1010010.01)2

2,二进制—>八进制

将二进制数从小数点起,分别按整数部分和小数部分以三位数符划组,最高位和最底位不足部分补0.然后每组用一个八进制数符替代.

例: (1111101.0100111)2= (001111101.010011100)2 = (175.234)8

3,二进制—>十六进制

将二进制数从小数点起,分别按整数部分和小数部分以四位数符划组,最高位和最底位不足部分补0.然后每组用一个十六进制数符替代.

例: (1111101.0100111)2= (01111101.01001110)2 = (7D.4E)8

1.1.3二进制码

用0和1组合表示信息的编码形式

编码位数n和信息量N的关系:

N≤2n

一,文字符号信息码

ASCII码——美国标准信息交换码,由7位二进制码组成.

例:"A"=(1000001)ASCII "!"=(0100011) ASCII

"a"=(1100001)ASCII "7"=(0110111) ASCII

二,数值码

1,自然二进制码——

编码形式与二进制数完全相同,每位代码有位权的数值意义(有权码) .

2,循环二进制码——

任何相邻两个整数值的码字仅有一位代码不同,代码没有数值意义(无权码) .典型的循环二进制码:格雷码(gray)

3,二——十进制BCD码

用4位二进制符表示的十进制数符

十进制 8421BCD 2421BCD 5211BCD 余3码格雷码

0 0000 0000 0000 0011 0000

1 0001 0001 0001 0100 0001

2 0010 0010 0011 0101 0011

3 0011 0011 0101 0110 0010

4 0100 0100 0111 0111 0110

5 0101 1011 1000 1000 1110

6 0110 1100 1010 1001 1010

7 0111 1101 1100 1010 1000

8 1000 1110 1110 1011 1100

9 1001 1111 1111 1100 0100

有权码——8421BCD,2421BCD,5211BCD

无权码——余3码,格雷码

例1:(271.59)10

=(001001110001.01011001)8421BCD

=(001011010001.10111111)2421BCD

例2:(10000110)8421BCD (10000110)2

+(00110100)8421BCD +(00110100)2

=(000100100000) 8421BCD =(10111010)2

(86)10+(34)10=(120)10

1.3格雷码

任何相邻两个整数值的码字仅有一位代码不同,代码没有数值意义(无权码). 十进二进格雷码十进二进格雷码

制数制码 B G 制数制码B G

0 0000 0000 8 1000 1100

1 0001 0001 9 1001 1101

2 0010 0011 10 1010 1111

3 0011 0010 11 1011 1110

4 0100 0110 12 1100 1010

5 0101 0111 13 1101 1011

6 0110 0101 14 1110 1001

7 0111 0100 15 1111 1000

Gn=Bn; Gi = Bi+1 ⊕ Bi ; Bi = Bi+1 ⊕ Gi

第2章逻辑代数基础

2.1基本概念

逻辑代数:处理逻辑运算的方法.根据设计要求,进行逻辑判断和推理.

逻辑变量:只有"0"和"1"值,表示了两个对立的状态.

逻辑函数:F=f(A0,A1,…,An-1)

函数值F和变量值Ai都只有两个取值"0","1"

1.2.2逻辑函数的表示方法

真值表:以表格形式列出所有变量取值所对应的输出函数值

逻辑代数表达式:用布尔代数描述的表达式

卡诺图:真值表的图形形式

逻辑图:逻辑符号表示的电路原理图

波形图:输出信号与输入信号的时序关系图

硬件描述语言:类似计算机软件编程语言的形式

1.2.3基本逻辑运算关系

与运算: 所有条件满足时,事件成立.

逻辑乘: F=A0·A1·A2· …·An-1

或运算: 只要一个条件满足,事件就成立.

逻辑加: F=A0+A1+A2+ …+An-1

非运算: 条件满足,事件不成立.输出与输入永远反相. F= A

逻辑图中用圈表示反相运算

复合运算

与非运算: 先"与"后"非"

F=A0·A1·A2· …·An-1

或非运算: 先"或"后"非"

F=A0+A1+A2+ …+An-1

与或非运算: 先"与"后"或"再"非"

F=A0A1 … +B0B1 …

异或运算:

两个输入相异时输出为"1",相同时输出为"0".

F = A ⊕ B = A·B + A·B

真值表 ABEL—HDL:F=A$B

逻辑符号

1 1

1

1 0

1

0 1

0 0

F

A B

同或运算:

两个输入相异时输出为"0" ,相同时输出为"1" .

F = A ⊙ B = A·B + A·B

真值表 ABEL—HDL:F=!(A$B)

逻辑符号

1

1 1

1 0

0 1

1

0 0

F

A B

1.2.4 正,负逻辑概念

对于同一逻辑问题,逻辑变量定义不同,得到的逻辑关系不同. 正逻辑:

输入,输出高电平为"1",低电平为"0"

负逻辑:

输入,输出高电平为"0" ,低电平为"1"

例:

输入输出正逻辑负逻辑

A B F A B F A B F

L L L 0 0 0 1 1 1

L H L 0 1 0 1 0 1

H L L 1 0 0 0 1 1

H H H 1 1 1 0 0 0

正逻辑: L="0",H="1";F=A·B

负逻辑:L="1",H="0";F=A+B

1.3.1布尔代数定律

A+0=A A·1=A

基本A+1=1 A·0= 0

定律A+A=A A·A=A

A+A=1 A·A= 0

结合律 ( A+B)+C=A+(B+C) ( AB)C=A(BC)

交换率 A+B=B+A AB=BA

分配律 A(B+C)=AB+AC A+BC=(A+B)(A+C)

摩根定律A+B+C=A·B·C ABC=A+B+C

ABC ≠A B C

1.3.2布尔代数的基本定律

1,代入规则:

当逻辑表达式中的变量用逻辑函数式代替时,表达式仍成立.

2,反演规则:

求某逻辑函数的反函数:将原函数中所有逻辑变量取反;逻辑常量"0","1"对换;"与","或"运算关系对换.

F=A·B+A·B F=(A+B)(A+B)=A·B+A·B

3,对偶规则:

当某逻辑恒等式成立时,其对偶式也成立.

求某函数的对偶式:将原函数中逻辑常量"0","1"对换;"与","或"运算关系对换.

1.3.3利用布尔代数化简逻辑函数

化简方法:

并项法: 利用A +A=1并项,消变量.

例7: F=ABC +ABC =AB(C +C) =AB

吸收法:利用A+AB=A并项,消变量.

例8: F=AB +ABCD(E+F)=AB(1+CD(E+F)) =AB

消去法:利用A+AB=A+B,消变量.

例9: F=AB +AC+BC=AB+C(A+B) =AB+ABC=AB+C

配项法:利用A=A(B+B)配项,消去其他项的变量. 例10: F=AB +AC+BC=AB+AC+ (A+A) BC

=AB+ABC+AC+ABC=AB+AC

A B C

A B C

A B C

A B C

A B C

A B C

A B C

A B C

A B C

最小项

m7

111

m6

110

m5

101

1

m4

100

m3

011

1

m2

010

1

m1

001

1

m0

000

F

mi

ABC

函数值

最小项符

变量取值

例:14

当三输入中至少有两个输入为低时输出为高.

化简要求:

1,逻辑表达式最简

2,逻辑运算关系统一

最简与-或表达式:

乘积项最少且乘积项中变量因子最少.

标准与-或表达式(最小项表达式):

表达式中的每个乘积项都是函数的最小项.

函数的最小项:

包含了该函数全部变量的乘积项,所以n个变量的函数有2n个最小项,与函数真值表的变量取值一一对应.

函数的最小项表达式:

使函数值为"1"的最小项之逻辑和.

F =A B C + A B C + A B C +A B C

F(A,B,C)= m0 + m1 + m2 + m4

=∑m(0,1,2,4)

与真值表中为"1"的项相同.

1.4卡诺图

1.4.1卡诺图的结构和特点:

1,将变量分为行,列两组,相邻列(行)之间只有一个变量取值不同. 2,卡诺图的每个格代表了函数的一个最小项.

3,相邻两个最小项可以合并成一个乘积项,并消去一个互补的变量. 相邻两列消去列变量.

相邻两行消去行变量.

4,具有循环邻接性.

B A

AB

CD

B

C

A,B,C,D 取值 1

A,B,C,D 取值 0

A B

CD10

A B

CD14

A B

C D6

A B

C D2

A B

CD11

A B

CD15

A B

C D7

A B

C D3

A B

C D9

A B

CD13

A B

C D5

A B

C D1

A B

C D8

A B

CD12

A B

C D4

A B

C D0

1.4.2用卡诺图化简逻辑函数

一,函数的卡诺图表示法:

1,最小项表达式

将表达式中出现的最小项所对应的卡诺图格中填入"1",其余格填"0".

2,非最小项表达式

将函数转换成与-或表达式,在每个乘积项的变量范围内填入"1",其余格填"0". 二,用卡诺图化简逻辑函数的规则和步骤

(1)以矩形圈形式合并2n个函数值相同的卡诺图格,消去取值不同的变量,形成一个乘积项.

(2)圈尽可能大,使乘积项的变量因子尽可能少.

圈尽可能少,使乘积项的个数尽可能少.

(3)圈从大到小,直到所有函数值相同的格全部圈入.但每个圈中必须至少包含一个没有被其它圈包围的独立格.

(4)所有乘积项之逻辑和为函数的最简与-或表达式.

三,具有无关项的逻辑函数表示方法

1,无关项

对函数值没有影响的变量组合所对应的最小项,用符号d表示其函数值.

2,具有无关项的逻辑函数最小项表达式

f=∑m+∑d

3,具有无关项的逻辑函数卡诺图

在无关项格中填入d或X

4,具有无关项逻辑函数的化简

无关项可以任意取值"0"或"1"以满足合并圈扩大的化简要求.

第四章组合逻辑电路

组合逻辑的电路结构:

信号从输入端逐级向输出传输,没有后级向前级的反馈.

组合逻辑的特点:

电路输出只与当前的输入信号有关,与电路原来的输出状态无关.

4.1组合逻辑分析

根据电路在不同输入信号时的输出,分析电路功能

分析步骤:

1,根据电路图逐级写逻辑表达式

2,根据表达式列真值表

3,根据真值表分析电路功能

4.2中规模组合逻辑电路

集成电路规模的划分

小规模集成电路SSI——器件集成.

中规模集成电路MSI——构件集成.如数据选择器,译码器,编码器等.

大规模集成电路LSI——子系统集成.定时器等.

超大规模集成电路VLSI——系统集成.

单片机,中央处理器(CPU)等.

4.2.1编码器

编码____用n位二进制码表示小于2n个信息

编码器____输入代表信息的开关量(0有效或1有效),输出指示当前有效输入的二进制码.

用输入,输出的端口数命名

优先编码器(74148)8线-3线

8个低电平有效的输入端I0-I7,位序高输入的优先级别高

3位反码Y0-Y2输出(由有效输入的下标决定)

1个使能输入端S(低电平有效)

1个扩展使能输出Ys

1个扩展输出Yex

优先编码器(74148)的扩展

利用使能输入,扩展使能输出实现.

1,优先级别高的芯片的使能输出Ys控制优先级别低的芯片使能输入S.

Ys = S+I0I1I2 I3I4I5I6I7

当级别高的芯片有输入有效(0)时,使能输出无效(1).禁止低级别芯片工作(使能输入为无效电平"1")

当级别高的芯片无输入有效时,输出为无效电平"1" .同时使能输出有效(0),允许低级别芯片工作 .

2,输出扩展使能作为高位码输出

2,二—十进制的编码器74147

9个输入I1—I9,低电平有效,位序大的级别高

四位BCD反码输出,没有扩展输入,输出端

4.2.2译码器

译码——将n位二进制码转换成对应的2n个信息

译码器——用不同的开关量输出(0有效或1有效)表示当前输入的二进制码

类型——通用译码器,二—十进制译码器,七段数码显示译码器

一,二进制(通用)译码器

输入n位二进制码,输出2n个开关量信号

用输入,输出端口数命名

3线-8线译码器74138

输入三位二进制码

输出8个低电平有效的开关量指示当前的输入码(位序与输入码相同)

Y0 = A2A1A0= m0 Y1=A2A1A0=m1

Yi=mi =Mi

1,产生组合逻辑函数

使能控制有效时,译码器各输出表达式:

Yi=mi

为输入码对应的最小项的反函数

函数的最小项表达式:F=∑mi

用译码器产生逻辑函数:

F= ∑mi = ∏mi = ∏Yi = ∏Mi

函数变量从译码器选择端输入,用与非门将与函数式中最小项序号相同的输出端综合后得函数输出.

例:用3/8线译码器构成实现一位二进制数加法的全加器.

输入三个一位二进制数A,B,C,输出和S,进位C

1 1

m7

111

1 0

m6

110

1 0

m5

101

0 1

m4

100

1 0

m3

011

0 1

m2

010

0 1

m1

001

0 0

m0

000

C S

mi

ABC

函数值

最小项符

变量取值

S=A B C + A B C + A B C +A B C

S(A,B,C)= m1 + m2 + m4 + m7

= m1 · m2 · m4 · m7

= Y1 · Y2 · Y4 · Y7

C=A B C + A B C + A B C +A B C

C(A,B,C)= m3 + m5 + m6 + m7

= m3 · m5 · m6 · m7

= Y3 · Y5 · Y6 · Y7

2,译码器实现数据分配

要分配的串行数据D从译码器的低电平使能端S2或S3输入: S2(或S3)= Di

Yi=mi S2

当输入地址码构成的最小项mi=1时,串行数据从S2或S3输出:

Yi =S2(S3)=D

3,通用译码器的扩展

利用扩展后的高位输入码控制使能端分时选通各译码器

二,二—十进制译码器74147

4-10线译码器,输入8421BCD码,输出十个开关量,低电平有效.

三,显示译码器

1,七段显示器——七个发光二极管排列成8字型.

2,共阴显示器——七个发光二极管的阴极接在一起.使用时公共端COM接低电平,七个二极管阳极接高电平.

3,共阳显示器——七个发光二极管的阳极接在一起.使用时公共端COM接高电平,七个二极管阳极接低电平.

2,七段显示译码器7447

4位BCD码输入D,C,B,A .

输出七段显示信号:a,b,c,d,e,f

显示十进制数符

驱动共阴显示器,输出低电平有效 7447

驱动共阳显示器,输出高电平有效

试灯输入LT:低电平有效,全部输出有效(全亮)

灭"0"输入RBI:低电平有效,不显示最高位"0"

消隐输入/灭"0"输出BI/RBO:

输入为低电平时,所有输出显示无效,显示器不亮(消隐)

当RBI=0且输入码为全零时,该输出有效(低电平),可控制相邻低位灭"0"

4.2.3数据选择器MUX

由地址信号控制从多路数据中选择一路送到输出.

m/1 MUX(m选一数据选择器)

输入n位地址码,2n路数据D0-Dm-1(m=2n)

输出Y(Y),使能控制S(低电平有效)

输出函数是地址码构成的最小项mi和数据输入Di的与或表达式:

Y=m0D0+ m1D1+…… + mm-2Dm-2 + mm-1Dm-1

=∑miDi

数据选择器的扩展

利用扩展后的高位地址码控制数据选择器的使能端S,分时选通各选择器.

数据选择器的应用:

1,数据选择

2,并行——串行数据变换

并行数据——所有位的数据同时传送

串行数据——数据按位序逐位传输

由地址码A,B,C选择位数据Di,将并行数据D0-D7逐位选择到输出Y,转换成串行数据.

数据选择器

结构:多输入,单输出

输入端:使能控制(选通) 1个

路径选择控制 n个

数据输入 2n个

功能:当使能有效时(被选通),根据路径选择信号从多路数据中选择一路给输出.

B A 应用:

C0 00 1,数据选择

C1 01 2,函数发生器

C2 10 Y 3,并行数据转换成

C3 11 串行数据

3,实现组合逻辑函数

数据选择器输出Y与其地址码构成的最小项mi以及数据输入Di的关系式为:

Y(A2,A1,A0)=∑miDi

=m0D0+ m1D1+…… + mm-2Dm-2 + mm-1Dm-1

当地址码A2,A1,A0输入函数的变量,数据输入D0—Dm-1为各变量组合取值时的函数值,Y为函数的输出.

例:4-5 分析图4-23的逻辑功能

解:电路由8/1MUX构成四变量函数,地址码输入为函数变量A,B,C(A2=A,A1=B,A0=C) 变量D从数据输入端D0-D7输入:

D0=D3=D5=D6=D; D1=D2=D4=D7=D;

Y=m0D+ m1D+ m2D+ m3D+ m4D+ m5D+ m6D+ m7D

=ABCD+ABCD+ABCD+ABCD+ABCD+ABCD

+ABCD+ABCD

电路功能为:奇校验检测,输入四个变量中有奇数个"1"时,输出Y为"1".

Y(A,B,C,D)

= m1+ m2+ m4+ m7+ m8+ m11+ m13+ m14

例4-6:分析图4-24电路的功能

解:电路由双4/1MUX构成两个三变量函数,其中变量A,B从地址码输入(A1=A,A0=B),变量C从数据端输入:

1D1=1D2=C;1D0=1D3=2D0=2D3=C;2D1=1;2D2=0

所以,两个函数的输出:

F1=1Y(A,B)= m0c +m1c +m2c +m3c

F1=(A,B,C)=ABC +ABC +ABC +ABC

=m1+m2+m4+m7

F2=(A,B,C)= m0c +m11 +m20 +m3c

F2=(A,B,C) =ABC +ABC +ABC +ABC

=m1+m2+m3+m7

1 1

m7

111

0 0

m6

110

0 0

m5

101

0 1

m4

100

1 0

m3

011

1 1

m2

010

1 1

m1

001

0 0

m0

000

F2 F1

mi

ABC

输出

最小项符

输入

功能:全减器,

实现一位二进制数减法.

输入:

A为被减数

B为减数

C为低位的借位请求

输出:

F1为差,

F2为向高位的借位请求

4.2.4 加法器∑——实现多位二进制加法1,全加器——实现一位二进制数加法

输入:被加数Ai,加数Bi,低位的进位Ci-1

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

【参考借鉴】数字逻辑电路习题集.doc

第一章数字逻辑电路基础 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、用二进制数表示文字、符号等信息的过程称为_____________。(编码) 5、()11011(2= 10),()1110110(2= 8),()21(10= 2)。(27、16 6、10101) 6、()101010(2= 10),()74(8= 2),()7(16=D 2)。(42、111100、11010111) 7、最基本的三种逻辑运算是 、 、 。(与、或、非) 8、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 9、逻辑函数化简的方法主要有 化简法和 化简法。(公式、卡诺图) 10、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 11、任何一个逻辑函数的 是唯一的,但是它的 可有不同的形式,逻辑函数的各种表示方法在本质上是 的,可以互换。(真值表、表达式、一致或相同) 12、写出下面逻辑图所表示的逻辑函数R= 。(C B A Y )(+=) 13、写出下面逻辑图所表示的逻辑函数R= 。())((C A B A Y ++=) 14、半导体二极管具有 性,可作为开关元件。(单向导电) 15、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 16、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 二、判断题 1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。(√)

数字逻辑和设计基础 期末复习题

1、采用3-8线译码器74LS138和门电路构成的逻辑电路如图所示,请对该电路进行分析,写出输出方程,并化解为最简与-或式。(10分) 1、解:分析此图,可知:F1=0134m m m m +++, F2=4567m m m m +++ 化简过程:由卡诺图及公式化简均可,此处略 化简得:1F A C BC =+(2分) 2F A = 2.已知逻辑函数: F ABC ABC ABC ABC ABC =++++,试用一片4选1数据选择器和门电路实现该逻辑函数,要求采用代数法,写出设计全过程,并画出电路图。 (10分) A 1 ST Y D 0D 1D 2D 3 A 0 ① 写出逻辑函数F 的表达式(2分) ==F A B C AB C ABC A BC ABC A B C AB C C A BC ABC A B C AB A BC ABC =+++++++++++() ② 写出4选1数据选择器输出端逻辑函数Y 的表达式(2分) 100101102103Y A A D A A D A A D A A D =+++ ③令 10A A A ==、B ,比较F 和Y 两式可得: (2分)

01231D C D D D C ==== ④ 根据上式画出的逻辑图。(4分) 五、 画出下列各触发器Q 端的波形:(设Q n = 0)(10 分,每小题5 分) 1、已知JK 触发器输入信号J 和K 、时钟脉冲CP 、异步置位端D R 和D S 的波形如下图 所示,试画出触发器输出端Q 的波形,设初始状态为0。(5分) Q CP J S D D K J 2、下图由边沿D 触发器构成的触发器电路,设其初始状态为0。输入信号如右 图所示,试画出Q 端的输出波形。(5分) CP Q D R D

2011数字逻辑设计大作业题目

数字逻辑设计大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,不能超过3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值均为三分钟,采用倒计时方式。通过按扭启动,由本方控制对方,比如甲方走完一步棋后必须按一次甲方的按键,该按键启动乙方倒计时。同理,乙方走完一步棋后必须按一次乙方的按键,该按键启动甲方倒计时。 (2)超时能发出报警判负。 (3)累计时间设置可以改变。 注:附加功能根据本人能力自行添加 题目4:出租车计费器的设计 汽车在行驶时,里程传感器将里程数转换成与之成正比的脉冲个数,然后由计数译码电路变成收费金额。每行驶1公里,里程传感器输出一个脉冲信号,即10个脉冲/公里。 [设计要求] (1)设计制作自动计费器,金额总数包括行车里程计费、等车时间计费和起步价三部分,金额用数码管显示。 (2)里程单价设2.1元/公里,等车单价为0.6元/10分钟,起步价设为5元(参考)

数字逻辑考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) 101 0101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C ) A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

数字逻辑设计习题参考答案 (第2,3章)

数字逻辑设计 习题册 班级: 学号: 姓名: 哈尔滨工业大学(威海) 计算机科学与技术学院体系结构教研室

第2章 逻辑代数基础 2—1 填空 1.摩根定理表示为:=?B A _B A + __;=+B A _B A ?__。 2. 函数表达式D C AB Y ++=,则其对偶式为='Y _D C B A ??+)(_______。 3.根据反演规则,若C D C B A Y +++=,则=Y C D C B A ?++)(。 4.函数式CD BC AB F ++=写成最小项之和的形式结果为 ()15,14,113,12,11,7,6,3∑m , 写成最大项之积的形式结果为)10,9,8,5,4,2,1,0(∏M 。 5. (33.33)10 =(100001.0101 )2 =( 41.2 )8 =( 21.5 )16 2—2 证明 1.证明公式()()A BC A B A C +=++成立。 2.证明此公式B A B A A +=+成立。 3.证明此公式)()()()()(C A B A C B C A B A +?+=+?+?+成立。 左边 (由分配律得) 右边 BC A BC B C A BC BA AC AA C A B A +=+++=+++=++)1())((B A A A B B B A B A B A AB AB B A B A AB B A B B A +=+++=+++=++=++=)()()(AC BC A B C A AC B C A C B B A ++=+?+=+ ?+?+=)()()()()(AC BC A B BC A B AC A A ++=+++=

白中英版 数字逻辑 第二章答案

第二章 组合逻辑 1. 分析图中所示的逻辑电路,写出表达式并进行化简 2. 分析下图所示逻辑电路,其中S3、S2、S1、S0为控制输入端,列出真值表,说明 F 与 A 、B 的关系。 F1= F2= F=F 1F 2= B F = AB + B = AB F = AB BABC CABC = AB + AC + BC + BC = AB + BC + BC 1 S B BS A ++3 2 S B A ABS +1 S B BS A ++

3. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能。 解: F1== 真值表如下: 当B ≠C 时, F1=A 当B=C=1时, F1=A 当B=C=0时, F1=0 F2= 真值表如下: C B BC A C AB C B A +++ABC C B A C B A ++A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 001101 00AC BC AB C A C B B A ++=++

当A 、B 、C 三个变量中有两个及两个以上同时为“1”时,F2 = 1 。 4.图所示为数据总线上的一种判零电路,写出F 的逻辑表达式,说明该电路的逻辑功能。 解:F= 只有当变量A0~A15全为0时,F = 1;否则,F = 0。 因此,电路的功能是判断变量是否全部为逻辑“0”。 5. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能 解: 真值表如下: 因此,这是一个四选一的选择器。 6. 下图所示为两种十进制数代码转换器,输入为余三码,输出为什么代码? 解: A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 000011 111514131211109876543210A A A A A A A A A A A A A A A A +++301201101001X A A X A A X A A X A A F +++=

数字逻辑第一次大作业

数字逻辑第一次大作业

一.“七段数码管字形发生器”真值表(支持共阴极,1亮0灭) 输入变量输出变量数码管显 示 A B C D a b c d e f g 0000 1111110 0 000 1 0110000 1 0010 110110 1 2 001 1 111100 1 3 0100 011001 1 4 010 1 101101 1 5 0110 101111 1 6 011 1 1110000 7 1000 111111 1 8 100 1 111101 1 9 1010 111011 1 A 101 1 001111 1 B 1100 1001110 C 110 1 011110 1 D 1110 100111 1 E 111 1 100011 1 F 二.卡诺图化简: A B C D a 0000 1 000 1 0 0010 1 001 1 1 0100 0 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 1 110 1 0 1110 1 AB CD 00 01 11 10 00 1 0 1 1 01 0 1 0 1 11 1 1 1 0 10 1 1 1 1 Fa=B?D?+A?BD+A B?C?+A?C+BC+A D?

111 1 1 A B C D b 0000 1 000 1 1 0010 1 001 1 1 0100 1 010 1 0 0110 0 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 0 110 1 1 1110 0 111 1 0 A B C D c 0000 1 000 1 1 0010 0 001 1 1 0100 1 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 1 1100 0 110 1 1 1110 0 111 1 0 AB CD 00 01 11 10 00 1 1 0 1 01 1 0 1 1 11 1 1 0 0 10 1 0 0 1 Fb=B?D?+B?C?+A?C?D?+A?CD+A C?D AB CD 00 01 11 10 00 1 1 0 1 01 1 1 1 1 11 1 1 0 1 10 0 1 0 1 Fc=A?C?+A?D+A?B+A B?+C?D

数字逻辑第四章课后答案..

习题4解答 4-1 试用与非门设计实现函数F(A,B,C,D)=Σm(0,2,5,8,11,13,15)的组合逻辑电路。 解:首先用卡诺图对函数进行化简,然后变换成与非-与非表达式。 化简后的函数 4-2 试用逻辑门设计三变量的奇数判别电路。若输入变量中1的个数为奇数时,输出为1,否则输出为0。 解:本题的函数不能化简,但可以变换成异或表达式,使电路实现最简。 真值表:逻辑函数表达式: C B A C B A C B A C B A Y? ? + ? ? + ? ? + ? ? = C B A⊕ ⊕ =) ( ACD D C B D B A D C B ACD D C B D B A D C B ACD D C B D B A D C B F ? ? ? ? ? ? ? = + + ? ? + ? ? = + + ? ? + ? ? = 逻辑图 B A C D F

4-3 用与非门设计四变量多数表决电路。当输入变量A 、B 、C 、D 有三个或三个以上为1时输出为1,输入为其他状态时输出为0。 解: 真值表: 先用卡诺图化简,然后变换成与非-与非表达式: 逻辑函数表达式: 4-4 用门电路设计一个代码转换电路,输入为4位二进制代码,输出为 4位循环码。 解:首先根据所给问题列出真值表,然后用卡诺图化简逻辑函数,按照化简后的逻辑函数画逻辑图。 ACD BCD ABC ABD ACD BCD ABC ABD ACD BCD ABC ABD Y ???=+++=+++=逻辑图

真值表: 卡诺图化简: 化简后的逻辑函数: Y 1的卡诺图 Y 2的卡诺图 Y 3的卡诺图 Y 4的卡诺图 A Y =1B A B A B A Y ⊕=+=2C B C B C B Y ⊕=+=3D C D C D C Y ⊕=+=4Y Y 逻辑图

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

数字逻辑设计及应用 本科1 答案

1 电子科技大学网络教育考卷(A 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 一、填空题(每空1分,共20分) 1、请完成如下的进制转换:22.7510= 10110.11 2= 26.6 8= 16.C 16; 2、F6.A 16= 246.625 10= 0010 0100 0110.0110 0010 0101 8421BCD = 0101 0111 1001.1001 0101 1000 余3码 3、-9910的8位(包括符号位)二进制原码是 11100011 ,8位二进制反码是 10011100 ,8位二进制补码是 10011101 ; 4、请问逻辑F=A /B+(CD)/+BE /的反函数F /= A C D E CD B /+ ; 解: ACDE CD B CDE B ACDE CD B CD AB ) E B (CD )B A ()BE )CD (B A ( F ///////////+=+++=+??+=++= 5、F(A,B,C)=Σm (2,4,6)=ПM( 0,1,3,4,7 ); 6、请问图1-6所完成的逻辑是Y= A ⊕B ; 解:通过真值表可以可到该逻辑: 7、74148器件是一个3-8编码器,它采用的编码方式是 优先编码 或 数大优先编码 ; 8、74283器件是一个4位全加器,它的内部逻辑电路与串行加法器不同,采用的是 超前进位 或 先行进位 方法来实现全加逻辑。 9、如果一个与或逻辑电路的函数式为:)C B )(B A (Y / / ++=,该逻辑存在静态冒险,现通过添加冗余项的方式来消除该冒险,则该冗余项为 (A /+C ) ; 10、请写出JK 触发器的特性方程:* Q = JQ /+K /Q ; 11、请写出T 触发器的特性方程:*Q = T ⊕Q 或者TQ /+T /Q ; 12、请写出D 触发器的特性方程:*Q = D ; 13、请写出SR 触发器的特性方程:*Q = S+R /Q ; 14、如果某组合逻辑的输入信号的个数为55个,则需要 6 位的输入编码来实现该逻辑。 解:采用的公式应该是log 255,向上取整 二、选择题(每题1分,共10分) 1、下面有关带符号的二进制运算,描述正确的是,其中X 是被加数,Y 是加数,S 为和: ①. [X]原码+[Y]原码=[S]原码 ②. [X]补码+[Y]补码=[S]补码 ③. [X]反码+[Y]反码=[S]反码 ④. [X]原码+[Y]原码=[S]补码 2、逻辑函数式AC+ABCD+ACD /+A /C= ①. AC ②. C ③. A ④. ABCD 3、请问F=A ⊕B 的对偶式=D F ①. A+B ②. A ⊙B ③. AB ④. AB /+A /B 4、已知门电路的电平参数如下:,,,,V 8.0V V 0.2V V 5.0V V 7.2V max IL min IH max O L min O H ====请问其高电平的噪声容限为: ①.2.2V ②.1.2V ③.0.7V ④.0.3V 5、下面描述方法,对于一个组合逻辑而言,具备唯一性的是: ①.逻辑函数式 ②.真值表 ③.卡诺图 ④.逻辑电路图 6、下面电路中,属于时序逻辑电路的是: ①.移位寄存器 ②.多人表决电路 ③.比较器 ④.码制变换器 7、一个D 触发器的驱动方程为Q X D ⊕=,则其逻辑功能与以下哪种触发器相同: ①. JK 触发器 ②. SR 触发器 ③. D 触发器 ④. T 触发器 8、n 位环形计数器,其计数循环圈中的状态个(模)数为: ①.n 个 ②.2n 个 ③.2n 个 ④.2n -1个 9、n 位扭环计数器,其计数循环圈中的状态个(模)数为: ①.n 个 ②.2n 个 ③.2n 个 ④.2n -1个 10、如果用JK 触发器来实现T 触发器,则JK 触发器的驱动端需要做如下的连接: ①.J=K=0 ②.J=K=T ③.J=T;K=T ’ ④.J=T ’;K=T 三、判断题(每题1分,共10分) 1、CMOS 集成逻辑OD 门,可以用以线与操作;(√ ) 2、三态门的附加控制端输入无效时,其输出也无效;( Х ) 3、三态门的三个状态分别为高电平、低电平和高阻态;(√ ) 4、施密特触发输入的门电路,当输入从高电平变换到低电平,和从低电平变换到高电平,它的输出变化轨迹相 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-6

数字逻辑电路课程设计题目及要求

数字逻辑电路课程设计题目及要求 项目一:高精密数控电源的设计和制作: 要求:电源输出电压为:0—9.9V,步进为0.1V,有两位数码管显示,每位有两个按键能加能减的作用。功率大小不做具体的要求,电路设计不能有专业的A/D芯片。项目二:自行车的里程表设计和制作: 要求:设计一个自行车里程表电路,有两位数码管显示,显示数字的单位为百米,自行车轮胎直径为0.99M。 项目三:自行车的速度测定仪表的设计和制作: 要求:设计一个自行车测速电路,有两位数码管显示,显示数字的单位为M/S,采样时间不能超过5S,自行车轮胎直径为0.99M。 项目四:多功能抢答器的设计和制作: 要求:多功能抢答器为八路的,具有数码和指示灯双重指示,电路的附加的电路一个有计时、报警等电路,所用芯片必须为我们所学的。 项目五:数字频率计的设计和制作: 要求:数字频率计的显示为两位数码管,单位为KHZ,具有溢出报警功能,附带震荡电路,频率可调几K到几百KHZ。 项目六:交通灯的设计和制作: 要求:具有真正模拟十字路口的交通灯的能力,红灯5秒,绿灯4秒,黄灯1秒等的时间也可以自己设定。 项目七:数字电子钟逻辑电路的设计和制作: 要求:数字电子钟逻辑电路要具有电子手表的功能,例如时间的设定,整点报时,可以设定闹钟等功能,只需四位数码。 项目八:定时控制器电路的设计和制作: 要求:定时控制器电路能够定时控制家用电器的开关,例如能按时开启、关断电饭煲煮饭等,电器用灯泡代替,时间可以缩短60倍。 项目九:LED广告牌电路设计和制作: 要求:LED广告牌电路能够模拟市面上的LED广告灯箱,能有四个字显示能力(共青学院),可以的话周围有霓虹灯闪烁。 项目十:易拉罐技术电路的设计与制作: 要求:易拉罐在传送带上过时,记录数值,以20灌为一个单位,满二十灌重新 计数并给出提示音,此过程模拟工厂易拉罐装箱过程. 项目十一:数显风扇调速器电路 要求:具有中低高三档,0为停止,1为低档。2为中档,3为高档。调节开关设 置成触摸,遥控等。可以的话加入温度自动控制电路。 项目十二:出租车计价器电路

数字逻辑设计及应用 本科3 答案82870

. . 电子科技大学网络教育考卷(C 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 大题号 一 二 三 四 五 六 七 八 九 十 合 计 得 分 一、填空题(每空1分,共20分) 1、10111012= 135 8= 5D 16= 1110011 格雷码 2、FF 16= 255 10= 001001010101 8421BCD = 010********* 余3码 3、已知某数的反码是1010101,则该数的对应的原码是 1101010 ,补码是 1101011 ; 4、逻辑运算的三种基本运算是 与或非 ; 5、一个逻辑在正逻辑系统下,表达式为B A +,则该逻辑在负逻辑系统下,表达式为 AB ; 6、逻辑式A /(B+CD /)的反演式为 A+B /(C /+D) ; 7、已知∑= )3,1,0(),,(m C B A F ,则∑=m F / ( 2,4,5,6,7 ) M ∏=( 2,4,5,6,7 ) ; 8、请问图1-8逻辑为Y= (AB)/ ; 9、n 选1的数据选择器的地址输入的位数为 log 2n(向上取整) 位,多路输入端得个数为 n 个; 10、如果用一个JK 触发器实现D 触发器的功能,已知D 触发器的输入 信号为D ,则该JK 触发器的驱动为: J=D;K=D / ; 11、如果用一个D 触发器实现T 触发器的功能,已知T 触发器的输入信号为T ,则该D 触发器的驱动为: T ⊕Q ; 12、如果让一个JK 触发器只实现翻转功能,则该触发器的驱动为: J=K=1 ; 13、利用移位寄存器实现顺序序列信号1001110的产生,则该移位寄存器中触发器的个数为: 大于或等于3 个; 二、选择题(每题1分,共10分) 1、以下有关原码、反码和补码的描述正确的是: ①.二进制补码就是原码除符号位外取反加1; ②.补码即是就是反码的基础上再加1; ③.负数的原码、反码和补码相同; ④.正数的原码、反码和补码相同; 2、下列逻辑表达式中,与D BC C A AB F / / / 1++=不等的逻辑是: ①./ / / BC C A AB ++ ②./ ///D BC C A AB ++ ③./ /C A AB + ④.BD C A AB ++/ / 3、已知门电路的电平参数如下:,,,,V 3.0V V 0.3V V 25.0V V 2.3V L I IH OL OH ≤≥≤≥请问其低电平的噪声容限为: ①. 0.05V ②. 0.2V ③. 2.95V ④. 2.7V 4、下列逻辑中,与/ A Y =相同的逻辑是: ①.1A Y ⊕= ②.0A Y ⊕= ③.A A Y ⊕= ④./ )A A (Y ⊕= 5、有如下所示波形图,已知ABC 为输入变量,Y 为输出变量,我们可以得到该逻辑的函数式为: ①.AC AB Y += ②.C B A Y ++= ③.C B A Y ??= ④./ / / C B A Y ++= 6、在同步状态下,下面哪种时序逻辑器件的状态更新仅仅发生在时钟触发沿来临的瞬间,并且状态更新的依据也仅仅取决于当时的输入情况: ①.锁存器 ②.电平触发的触发器 ③.脉冲触发的触发器 ④.边沿触发的触发器器 7、或非门所构成的SR 触发器的输入为S 和R ,则其工作时的约束条件为: ①.1R S =+ ②.0R S =? ③.0R S / / =+ ④.R S = 8、要实现有效状态数为8的扭环计数器,则所需移位寄存器中的触发器个数为: ①.8 ②.4 ③.3 ④.2 9、下面的电路,属于组合逻辑的电路是: ①.串行数据检测器 ②.多路数据选择器 ③.顺序信号发生器 ④.脉冲序列发生器 10、下面哪些器件不能够实现串行序列发生器 ①.计数器和组合门电路 ②.数据选择器和组合门电路 ③.移位寄存器和组合门电路 ④.触发器和组合门电路 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-8 图2-5

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

数字逻辑课后答案 第五章

第五章 习题答案 1. 画出与阵列编程点 解: 2. 画出或阵列编程点 解: 3. 与、或阵列均可编程,画出编程点。 解; --- ----X 1X 2X 3X 4

4. 4变量LUT 编程 解: 5. 用VHDL 写出4输入与门 解: 源代码: LIBRARY IEEE ; USE IEEE .STD_LOGIC_1164.ALL ; ENTITY and4 IS PORT (a ,b ,c ,d :IN STD_LOGIC ; x :OUT STD_LOGIC ); END and4; ARCHITECTURE and4_arc OF and4 IS BEGIN x <=a AND b AND c AND d ; END and4_arc ; 6. 用VHDL 写出4输入或门 解: 源代码: LIBRARY IEEE ; USE IEEE .STD_LOGIC_1164.ALL ; 1 A -B B -F 3 2A 0A 1A 2A 3 SOP 输出

ENTITY or4 IS PORT (a,b,c,d:IN STD_LOGIC; x:OUT STD_LOGIC); END or4; ARCHITECTURE or4_arc OF or4 IS BEGIN x<=a OR b OR c OR d; END or4_arc; 7.用VHDL写出SOP表达式 解:源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY sop IS PORT (a,b,c,d,e,f:IN STD_LOGIC; x:OUT STD_LOGIC); END sop; ARCHITECTURE sop_arc OF sop IS BEGIN x<=(a AND b) OR (c AND d) OR (e AND f); END sop_arc; 8.用VHDL写出布尔表达式 解:源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY boolean IS PORT (a,b,c:IN STD_LOGIC; f:OUT STD_LOGIC); END boolean; ARCHITECTURE boolean_arc OF boolean IS BEGIN f<=(a OR (NOT b)OR c) AND (a OR b OR(NOT c))AND ((NOT a) OR (NOT b) OR (NOT c)); END boolean_arc; 9.用VHDL结构法写出SOP表达式 解:源代码: ――三输入与非门的逻辑描述 LIBRARY IEEE;

电子科技大学数字逻辑设计及应用作业答案

答案+我名字 查看考卷——作业提交1 当前得分:15 分(总分:100 分),折合成百分制共 15 分。 返回 作业提交1 1. 逻辑函数 ,请问其反函数 。 () () () [参考答案:] 分值:5 得分: 分 系统自动批改于2019年9月19日 14点35分 2. 有关异或逻辑的描述不正确的是 。 () 异或逻辑的反函数是同或逻辑 () 异或逻辑的对偶逻辑是同或逻辑 () 一个逻辑变量和0异或得到的是其反函数 [参考答案:] 分值:5 得分: 分 系统自动批改于2019年9月19日 14点35分

3. 已知一个逻辑的最小项之和为F(, , )=∑m(0,4,7) ,以下哪个等式成 立。 () () () [参考答案:] 分值:5 得分:5 分 系统自动批改于2019年9月19日14点35 分 4. 下面所描述的逻辑函数表达式中,哪一种可以直接从真值表中得到,而 无需其他中间步骤。 () 标准形式 () 最简与或式 () 与非-与非形式 [参考答案:] 分值:5 得分:5 分 系统自动批改于2019年9月19日14点35 分 5. 下面有关最小项的描述正确的是。 () () () [参考答案:] 分值:5 得分:0 分 系统自动批改于2019年9月19日14点35 分 6. 下面有关逻辑函数的描述中,正确的是。

() 逻辑函数的最小项之和是唯一的 () 逻辑函数的最简与或表达式是唯一的 () 逻辑函数的与非-与非逻辑表达式是唯一的[参考答案:] 分值:5 得分:5 分 系统自动批改于2019年9月19日14点35 分 7. 请问或非逻辑的对偶关系是。 () 或非逻辑 () 与非逻辑 () 与或非逻辑 [参考答案:] 分值:5 得分:0 分 系统自动批改于2019年9月19日14点35 分 8. 逻辑函数,请问其最小项之和为。 () () () [参考答案:] 分值:5 得分:0 分 系统自动批改于2019年9月19日14点35 分 9. 逻辑函数Y(, , , )=∑m(0,2,4,6,9,13) + (1,3,5,7,11,15)的最简与 或式为() () +’’

《数字逻辑电路》试题及答案

、填空题( 1-5小题每空 1分, 6-10 小题每空 2分,共20分) 1.(16.25) 10 = ( _____________________ ) 2 = ( ) 8 = ( ) 16 2.三态门输出的三种状态分别为:、和。 3.基本 RS 触发器的约束条件是______________ 。 4.多谐振荡器是一种波形_______ 电路,它没有稳态,只有两个_______ 。 5.把 JK 触发器改成 T 触发器的方法是____________ 。 6.F(A,B,C,D) A (B C (D E) ) 的对偶式为________________________________ 7.十进制数( -12 )的补码形式为_____________________ 。 8.某信号采集系统要求一片 A/D 转换器集成芯片在 1S内对 16 个热电偶的输出电压分时进行 A/D 转换。已知热电偶输出电压范围为 0~0.025V(对应 0~450 o C温度范围),需要分辨的温度为 0.1 o C,试问选用位的 A/D 转换器。 9.RAM 存储器地址线 4 条,数据线 8 条,其存储容量为_______ 。 10.写出下图有 ROM 构成的组合逻辑函数式 Y2= ____________________ 。 二、逻辑函数化简证明题(共 3题,共 20 分) 1.(6 分)用公式法化简下面逻辑函数为最简与或式F(A,B,C) (ABC) (AB ) 2.(6 分)证明下面逻辑恒等式,方法不限。(A C )(B D)(B D ) AB BC 3.(8 分)用卡诺图法求下面逻辑函数的反函数,用最简与或式表示。F(A,B,C,D) ABC ABD C D ABC ACD ACD

相关主题
文本预览
相关文档 最新文档