当前位置:文档之家› 基于VHDL的电子密码锁的设计(1)

基于VHDL的电子密码锁的设计(1)

基于VHDL的电子密码锁的设计(1)
基于VHDL的电子密码锁的设计(1)

《E D A仿真与实践实习》

学院:信息科学与工程学院

课题名称:硬件描述语言设计

——基于VHDL的电子密码锁的设计

班级:

学生:

学号:

指导教师:

1 引言

在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。

基于EDA技术设计的电子密码锁。以其价格便宜、使用方便、安全性高、成本低、功耗低、易操作等优点,受到了人们的普遍关注。而以可编程逻辑器件(FBDA)为设计载体,以硬件描述语言(VHDL)为主要表达方式,以QuartusⅡ5.1开发软件等为设计工具设计的电子密码锁,由于其能够实现密码输入、密码校验、密码设置和更改等功能,因此,能够满足社会对安全防盗的需求。

本设计的各个模块由相应的VHDL程序具体实现,并在QuartusⅡ5.1环境下进行了整体电路的模拟仿真,最终实现“密码锁控制器设计”的要求。

2 设计内容和要求

2.1 设计内容:

题目:电子密码锁

内容:设计一个4位串行数字锁。

(1)开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮一个指示灯。否则进入“错误”状态,并发出报警信号。

(2)锁内的密码可调,且预置方便,保密性好。

(3)串行数字锁的报警由点亮一个灯,直到按下复位开关,报警才停下。此时,数字锁又自动等待下一个开锁状态。

要求:

(1)通过查阅相关技术资料,详细描述电子密码锁的基本原理。

(2)编写电子密码锁的Verilog HDL或VHDL程序,并仿真编译下载验证。

(3)给出完整的系统顶层模块图与波形仿真图。

3 设计分案

密码锁控制器是硬件与软件的结合。根据设计要求,决定以FBDA

芯片和VHDL语言设计此电子密码锁。用一片FBDA芯片实现,从而大大简化了系统结构,降低了成本,提高了系统的保密性和可靠性。这种设计不仅简化了系统结构,降低了成本,更提高了系统的可靠性和保密性。另外,采用可编程逻辑器件开发的数字系统,方便地升级和改进。

3.1 系统总框图

本系统的硬件部分主要由密码锁控制电路、密码锁显示电路、LED显示电路、报警电路、密码更改与设置电路组成。整体系统框图如下图2.1所示。

密码锁总框图

3.2密码锁的内部结构及主要功能

3.2.1密码锁的主要功能

密码锁控制器的主要功能有:

(1)密码输入:有二个按键来控制(分别代表0和1),每按下一个键,要求在数码管上显示,并依次左移。

(2)密码校验:如果有按键按下,直到松开该按键;红绿灯指示门的状态,也就是密码校验结果,如果密码校验正确,绿亮起,否则如果密码校验错误红灯亮,并凤鸣器响,表明密码错误。

(3)错误报警:密码输入错误开始报警。

(4)密码修改:输入密码正确后4秒内按按键输入要设置和更改的密码,按按键确认密码设置与更改,则密码设置成功

4 系统硬件电路

4.1 密码锁的显示模块

段数码管是电子开发过程中常用的输出

显示设备。在本设计中使用的是8个四位一

体、共阴极型七段数码管。其单个静态数码

管如下图所示。

由于七段数码管公共端连接到GND(共

阴极型),当数码管的中的一个段被输入高

电平,则相应的这一段被点亮。反之则不亮。

共阳极性的数码管与之相反。四位一体的七段数码管在单个静态数码管的基础上加入了用于选择哪一位数码管的位选信号端口。八个数码管的a、b、c、d、e、f、g、h、dp都连在了一起,8个数码管分别由各自的位选信号来控制,被选通的数码管显示数据,其余关闭。4.2 密码锁控制模块

该模块的作用是将输入的密码(暂时寄存于ACC中)跟已经存储的密码(REG中的密码信息)进行对比,如果一样,则密码锁开锁。而在通过密码验证后可以按按键设定密码。在这里值得注意的是有一个密码“0000”为开始密码,如果改名密码了但是又忘记了密码,重新下载之后的初始密码只要输入的密码为“0000”则都可通过密码锁。模块lock是整个设计的核心,它实现密码锁的逻辑功能。在任何时候按动密码初始化按键内密码设置为程序初始化密码值(在本模块程序中此值为“0000”代码为4位二进制数,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮开锁指示灯(緑灯)亮。否则,系统进入“错误”状态,并发出报警信号。串行数字锁的报警方式是点亮指示灯(红灯),并使喇叭鸣叫,直到按下复位开关,报警才停止。此时,数字锁又自动进入等待下一次开锁的状态。该4位串行电子密码锁设置4位二进制密码,要求锁内给定的密码是可调的,且设置方便,保密性好。其具体操作分为输入密码和修改密码两部分

4.2.1输入密码

密码输入值的比较主要有两部分,密码位数和内容,任何一个条件不满足,都不能打开锁。若锁内密码为“0000” , key2和key1置低电平,分别表示输入“1” 和“0” 。输入密码前先进行复位操作,再按着从密码最低位到最高位的顺序依次正确输入0000,会在数码管逐一显示。经检验,输入的密码等于锁内预先设置的密码密码锁开启信号,锁开启。同时,密码修改控制信号rw置低电平。若在输入密码的过程中,4位二进制密码出现输入错误,那么锁不能开启,同时,指示灯红灯亮,发出报警信号。直到按下复位开关,报警才停止。此时,数字锁又自动进入等待下一次开锁的状态。

4.2.2修改密码

为防止任意进行密码修改,必须在正确输入密码后,才能重新设置密码。输入正确密码后,锁打开,同时,密码修改控制信号按键rw置低电平,就可直接进行修改密码的操作。修改密码实质就是用输入的新密码去取代原来的旧密码,按确定按键ok,存储新密码时.

5 VHDL程序设计

密码锁控制器的各个功能模块都是通过VHDL语言来完成的。本设计由密码锁显示显示模块、分频模块、密码输入及校验模块、报警模块、密码更改与设置模块几部分组成,各模块分工合作,最后达到密码锁控制器设计的要求。

表4-1 程序的管脚分配表

VHDL程序总代码

libraryieee;

use ieee.std_logic_1164.all;

useieee.std_logic_arith.all;

useieee.std_logic_unsigned.all;

entity lock is

port(

key1,key2:instd_logic;

clk,start,ok,reset,rw,clr: in std_logic;

red,green,beep:outstd_logic:='1';

dig:outstd_logic_vector(7 downto 0);

seg:outstd_logic_vector(7 downto 0)

);

end lock;

architecture rt1 of lock is

signalkeyin,password:std_logic_vector(3 downto 0):="0000"; signal open1:std_logic:='0';

signal beep1:std_logic:='1';

signala,shuru:std_logic;

signal count: std_logic_vector(2 downto 0):="000";

signal temp: std_logic_vector(1 downto 0):="00";

process(clk)

variable m:integer range 0 to 10;

begin

ifclk'event and clk='1'then

if m=9 then

m:=0;

a<='1';

else

m:=m+1;

a<='0';

end if;

end if;

end process;

process(clk,start,ok,clr,rw,reset)

begin

ifclk'event and clk='1'then

if reset='0' then

red<='1';green<='1';beep1<='1';open1<='0';keyin<="0000";shuru<='0';count<= "000";

end if;

if start='0' then

shuru<='1';

end if;

ifrw='0' and open1='1'then

shuru<='1';count<="000";

end if;

if clr='0' then

keyin<="0000";count<="000";shuru<='1';

elsif a='1' and shuru='1' then

if key1='0' then

keyin<=keyin(2 downto 0)&'0';

elsif key2='0' then

keyin<=keyin(2 downto 0)&'1';

if count="011" then

shuru<='0';

count<=count+1;

else

count<=count+1;

end if;

end if;

if ok='0'and open1='0' then

if (keyin=password)then

red<='1';green<='0';open1<='1';

else

red<='0';green<='1';beep1<='0';open1<='0'; end if;

end if;

if ok='0'and open1='1'then

password<=keyin;

end if;

end if;

end process;

process(clk,start,ok,clr,rw,reset)

variable g:integer range 0 to 49999999; begin

ifclk'event and clk='1'then

if g=49999999 then

g:=0;

else

g:=g+1;

end if;

if g<=24999999 and beep1='0' then

beep<='0';

else

beep<='1';

end if;

end if;

end process;

process(clk)

variable n:integer range 0 to 50000; begin

ifclk'event and clk='1'then

if n=49999 then

n:=0;

if temp="11"then

temp<="00";

else

temp<=temp+1;

end if;

else

n:=n+1;

end if;

if count="001" then

case temp is

when"00" => dig<="01111111" ;

ifkeyin(0)='0'then seg<="11000000"; elseseg<="11111001";end if;

when others =>seg<="11111111" ; end case ;

elsif count="010" then

case temp is

when"00" => dig<="01111111" ;

ifkeyin(1)='0'then seg<="11000000"; elseseg<="11111001";end if;

when"01" => dig<="10111111" ; ifkeyin(0)='0'then seg<="11000000"; elseseg<="11111001";end if;

when others =>seg<="11111111" ;

end case ;

elsif count="011" then

case temp is

when"00" => dig<="01111111" ;

ifkeyin(2)='0'then seg<="11000000"; elseseg<="11111001";end if;

when"01" => dig<="10111111" ; ifkeyin(1)='0'then seg<="11000000"; elseseg<="11111001";end if;

when"10" => dig<="11011111" ; ifkeyin(0)='0'then seg<="11000000"; elseseg<="11111001";end if;

when others =>seg<="11111111" ; end case ;

elsif count="100" then

case temp is

when"00" => dig<="01111111" ;

ifkeyin(3)='0'then seg<="11000000"; elseseg<="11111001";end if;

when"01" => dig<="10111111" ;

ifkeyin(2)='0'then seg<="11000000"; elseseg<="11111001";end if;

when"10" => dig<="11011111" ; ifkeyin(1)='0'then seg<="11000000"; elseseg<="11111001";end if;

when"11" => dig<="11101111" ; ifkeyin(0)='0'then seg<="11000000"; elseseg<="11111001";end if;

when others =>null;

end case ;

else

dig<="11111111" ;seg<="11111111";

end if;

end if;

end process ;

end rt1;

6 系统仿真

当各个模块分别编译成功后,则创建一个个元件符号。再用图形编辑器将各元件模块组装起来,这就是本设计中最顶层的图形设计

文件。顶层图形设计文件lock. gdf如图所示,这个结构框图说明了整个系统的外部输入和输出情况。为了获得与目标器件对应的、精确的时序仿真文件译了。

编译成功后进行仿真。首先建立波形文件。波形文件lock. scf

建好并存盘后,选择菜单“new”→ “Vector Waveform File”,启动仿真操作,结束后观察仿真波形。本设计中,仿真波形如图所示。当给初始密码输入信号LC 一个低电平时,就将程序预先设定的密码

( “0000” )装入lock 中。按下reset后,系统复位,处于输入密码状态。输入的开锁密码串行顺序装入,。密码输入完毕后,比较输入的密码是否等于预先设定的密码,若相等,锁开启。如图所示

7 总结

这次密码锁的设计过程表明,用VHDL可以快速、灵活地设计出符合要求的密码锁控制器,而且操作简单。可以实现密码输入、密码校验、密码设置和更改等功能。设计过程能够在设计完成后在QuartusⅡ环境下进行电路的模拟仿真,反馈结果可以验证程序设计的可行性与可靠性。

本密码锁控制器设置的是4位密码,在系统复位后,输入一个完整的密码串,输入完后,系统会进行比对,如果发现密码吻合,则开门,否则要求用户继续输入,如果输入的密码串都是错误的,则系统报警,直到输入正确的密码,报警声停止。这样的设计可以很好的满足人们的日常需求。同时,密码锁还具有密码修改功能,方便操作,使得密码锁的使用更加安全、便捷。

在软件、硬件设计和仿真过程中间我们也遇到不少问题,但最终还是把它们解决了,使得设计符合要求。除了自己思考设计之外,这与和同学的同心协力的合作与讨论是分不开的的。相互的探讨使得我们的思路更加开阔,解决问题的办法也更多。总之,此次课程设计让我收益良多,同时因为有了实践操作,对《EDA技术及Verilong HDL》

课程所学内容也有了更深的记忆和理解,对EDA技术额能够更好的掌握和应用了

致谢

在这次实习能够顺利完成的过程中,我得到了许多人的帮助。

首先我要感谢陈小琴老师等老师在课程设计上给予我的指导、提供给我的支持和帮助,这是我能顺利完成这次报告的主要原因,更重要的是老师帮我解决了许多技术上的难题,让我能把系统做得更加完善。在此期间,我不仅学到了许多新的知识,而且也开阔了视野,提高了自己的设计能力。

其次,我要感谢帮助过我的同学,他们也为我解决了不少我不太明白的设计商的难题。同时也感谢学院为我提供良好的做课程设计的环境。

参考文献

【1】陈龙,黄继业,潘松,主编《EDA技术与Verilog HDL》清华大学出版社

【2】阎石主编《数字电子技术基础》,高等教育出版社,1998 【3】夏宇闻主编《Verilog数字系统设计教程》,北京航空航天大学出版

基于51单片机电子密码锁毕业论文(设计)

摘要 摘要:在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。密码锁具有安全性高、成本低、功耗低、易操作等优点。 在安全技术防范领域,具有防盗报警功能的电子密码锁逐渐代替传统的机械式密码锁,克服了机械式密码锁密码量少、安全性能差的缺点,使密码锁无论在技术上还是在性能上都大大提高一步。随着大规模集成电路技术的发展,特别是单片机的问世,出现了带微处理器的智能密码锁,它除具有电子密码锁的功能外,还引入了智能化管理、专家分析系统等功能,从而使密码锁具有很高的安全性、可靠性,应用日益广泛。 随着人们对安全的重视和科技的发展,许多电子智能锁(指纹识别、IC卡辨认)已在国内外相继面世。但是这些产品的特点是针对特定的指纹和有效卡,只能适用于保密要求的箱、柜、门等。而且指纹识识别器若在公共场所使用存在容易机械损坏,IC卡还存在容易丢失、损坏等特点。加上其成本较高,一定程度上限制了这类产品的普及和推广。鉴于目前的技术水平与市场的接收程度,电子密码锁是这类电子防盗产品的主流。 基于以上思路,本次设计使用 ATMEL公司的 AT89C51 实现一基于单片机的电子密码锁的设计,其主要具有如下功能: (1)密码通过键盘输入,若密码正确,则将锁打开。

(2)报警、锁定键盘功能。密码输入错误数码显示器会出现错误提示,若密码输入错误次数超过 3 次,蜂鸣器报警并且锁定键盘。 电子密码锁的设计主要由三部分组成:4×4 矩阵键盘接口电路、密码锁的控制电路、输出八段显示电路。另外系统还有 LED 提示灯,报警蜂鸣器等。 密码锁设计的关键问题是实现密码的输入、清除、更改、开锁等功能:(1)密码输入功能:按下一个数字键,一个“-”就显示在最右边的数码管上,同时将先前输入的所有“-”向左移动一位。 (2)密码清除功能:当按下清除键时,清除前面输入的所有值,并清除所有显示。 (3)开锁功能:当按下开锁键,系统将输入与密码进行检查核对,如果正确锁打开,否则不打开。 主要的设计实施过程:首先,选用 ATMEL公司的单片机 AT89C51,以及选购其他电子元器件。第二步,使用 DXP 2004设计硬件电路原理图,并设计 PCB图完成人工布线(后因 PCB 板损坏决定采用万能板焊接的方法)。第三步,使用 Keil uVision3 软件编写单片机的 C 语言程序、仿真、软件调试。第四部,使用 PROTEUS 软件进行模拟软、硬件调试。最后,联合软、硬件调试电路板,完成本次毕业设计。 关键词:4×4矩阵键盘;AT89C51;密码锁;密码二次确认

VHDL密码锁设计

VHDL语言及应用课程设计论文 ----VHDL平台下 密码锁的设计 学院:电子信息学院 专业: 学号: 姓名: 指导老师: 团队成员: 完成日期: 目录

一. 引言-----------------------------------------------------------1 二. 实验目的-------------------------------------------------------1三. 实验任务与要求-------------------------------------------------1 四. 设计原理及工作流程---------------------------------------------2 密码锁设计原理--------------------------------------------------2 密码锁系统框图--------------------------------------------------2 密码锁设计提示--------------------------------------------------2 五. 密码锁的顶层设计源程序-----------------------------------------3 六. 密码锁各功能模块源程序及其仿真分析-----------------------------5 密码设定锁存器源程序及其仿真分析--------------------------------5 密码输入锁存器源程序及其仿真分析--------------------------------6 开锁控制系统源程序及其仿真分析----------------------------------8 比较器源程序及其仿真分析----------------------------------------9 LED显示源程序及其仿真分析--------------------------------------11 顶层源文件的仿真分析-------------------------------------------12 七. 密码锁设计源程序的下载调试------------------------------------13 电路结构-------------------------------------------------------13 管脚分配-------------------------------------------------------14 程序下载调试过程-----------------------------------------------14 八. 实验分析与总结------------------------------------------------15 九. 组内分工------------------------------------------------------16 十. 参考文献------------------------------------------------------16

数字电路密码锁课程设计

“数字电子技术”课程设计 实验报告 姓名: 指导老师: 班级:13电子卓越班 学号:2013****01121 时间:2014·12·05 东莞理工学院 电子工程学院 密码锁

目录 一、选题意义 (3) 二、方案论证选择 (4) 2.1 设计要求 (4) 2.2 拓展要求 (4) 2.3 系统框图 (4) 2.4 设计过程 (5) 三、电路设计 (5) 3.1 所需芯片及芯片管脚图 (5) 3.2 CD4017构成的主题电路 (6) 3.2确认键的电路设计 (6) 3.3输入密码三次锁死系统原理分 (7) 3.4用led显示当前输入密码个数 (8) 3.5 综合电路 (8) 四、电路调试及实物照片 (9) 五、心得体会 (13)

一.选题意义 1概述 电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。应用较广的电子密码锁是以芯片为核心,通过编程来实现的。 2性能特点 其性能和安全性已大大超过了机械锁,特点如下: 1.保密性好,编码量多,远远大于弹子锁。随机开锁成功率几乎为零。 2.密码可变。用户可以经常更改密码,防止密码被盗,同时也可以避免因人员的更替而使锁的密级下降。 3.误码输入保护。当输入密码多次错误时,报警系统自动启动。 4. 电子密码锁操作简单易行,一学即会。 5.干扰码功能在输入正确密码前可输入任意码。 6.安保功能 如果连续输错4次密码将会自动断电3分钟。 7.紧急开启功能(Panic Open) 出门时无需其他操作,只需一次的把手动作,可机械的开启门,所以遇到火灾等应急状况下也迅速,安全的开启门。 8.入侵感应功能 在门上锁的状态下,有人破锁而入时,会发出强力的报警音。 9.火灾报警功能 在室内如果温度达到75°左右,将会发出强力的报警音,同时锁会自动开启。 10.双重锁定功能 外部强制锁定:在内部不能开启,适用于外出时,防止有人入侵。 内部强制锁定:在外部不能开启,让您在家时更安心、安全。 11.弱电提醒当电量不足时,在启动开门时,会有美妙的音乐提示您及时更换电池。 12.自动上锁功能 采用全自动锁芯,门关后6秒内自动上锁,外出更加安全。 本次我们设计的密码锁仅为逻辑电路部分,不涉及上文所述的具体的机械设备以及其他周边电路!

基于51单片机的无线电子密码锁课程设计[优质文档]

单片机课程设计报告书 基于89C51单片机 课题名称 无线电子密码锁的设计姓名刘武 学号131220330 学院通信与电子工程学院 专业电子信息工程 指导教师祝秋香讲师 2015年12月20日

基于89C51单片机无线电子密码锁的设计 1 设计目的 (1)了解MCS-51单片机使用方法和熟悉蓝牙模块; (2)掌握AT89C51芯片的使用方法及蓝牙模块的连接与使用; (3)熟悉单片机程序仿真软件Proteus的使用; (4)了解MCS-51单片机开发板工作原理以及芯片的组合; (5)熟悉无线电子密码锁的设计及实现。 2设计思路 2.1 选择设计电路 设计51单片机与蓝牙连接的电路。 2.2 所选设计电路的原因 (1)由于无线电子密码锁的设计需要用到蓝牙模块,用到的单片机资源并不是很多,而51单片机的资源有8位CPU、4KB的ROM、128B的RAM、2个16位定时/计数器、4组8位的I/O、1个串口和5个中断源,可以更有效率的利用到51单片机的资源。 (2)可现实无线功能的模块有:蓝牙模块、无线模块、红外遥控模块,因为相对之下,蓝牙模块更容易实现,更容易操作,最后选择蓝牙模块实现手机远程控制。 (3)为了提高效率,可以利用51单片机开发板的硬件,用LED灯模拟密码锁的开关,当LED灯亮起时代表锁已经开启,熄灭则代表密码锁被关闭。应用在实际中可用继电器替代LED的亮灭去控制强电开关。 3 设计过程 3.1 设计总框图 无线电子密码锁分为两个主要功能模块:51单片机模块,蓝牙实现模块。这两个模块共同工作完成本电路的功能实现。其中利用AT89C51芯片来实现51单片机模块功能,完成数码管、发光二极管的实现,同时利用HC06蓝牙模块来

电子密码锁设计论文

电子密码锁设计论文 基于单片机的现场无电源电子密码锁设计摘要:在野外环境中往往不能方便地提供电源,传统由控制部分提供的电源,电子锁已不适用。介绍一种由手持部分提供电源,通过电源线完成通信功能的电子密码锁设计,解决了现场不能提供电源的问题。重点分析了发送电路、接收电路、倒向电路、电机保护电路以及系统的通信协议。该系统已在多个场所得到应用,其使用方便、安全可靠,具有一定的推广价值。 关键词:电子密码锁; STC12C2052; 倒向电路; 通信协议 中图分类号:TP29 文献标识码:A 文章编号:1004-373X(2010)09-0177-03 Design of Field Powerless Electronic Secure Code Lock Based on SCM MA Xiu-jun1, SUN Shi-ming1, WU Juan2, XIE Xing-zhou3 (1. Department of Computer and Communication Engineering, China University of Petroleum, Dongying 257061, China; 2. Dongxin Oil Plant, Sinopec Shengli Oilfield Company, Dongying 257000, China;

3. Gudao Oil Plant, Sinopec Shengli Oilfield Company, Dongying 257321, China) Abstract: Since power supply can not be provided in the wild environment, the traditional electronic locks that is powered by control terminal is not applicable. The design of an electronic secure code lock which uses a hand terminal to provide power for the system and solves the problem that in the wild environment the power supply could not be provided is described. The signal sending circuits, receiving circuit, inverter circuits, protection circuit of motor and the communication protocol are analyzed emphatically. The lock has been applied in many places, and is converient, safe and reliable. Keywords: electronic secure code lock; STC12C2052; inverter circuit; communication protocol 0 引言 目前,市场上有多种基于IC卡设计的电子锁,广泛应用于宾馆、公寓、仓库、学校等场所[1-2]。这些场合能够提供很好的直流或交流电源,电子锁的控制部分可以长时间方便地获取稳定的电源。但是,在野外环境中往往不能为控制部分方便地提供电源,需要手持部分为控制部分提供电源,执行开锁和闭锁操作,因此传统由控制部分提供电源

根据VHDL的电子密码锁的设计

《E D A仿真与实践实习》 学院:信息科学与工程学院 课题名称:硬件描述语言设计 ——基于VHDL的电子密码锁的设计班级: 学生: 学号: 指导教师:

1 引言 在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。 基于EDA技术设计的电子密码锁。以其价格便宜、使用方便、安全性高、成本低、功耗低、易操作等优点,受到了人们的普遍关注。而以可编程逻辑器件(FBDA)为设计载体,以硬件描述语言(VHDL)为主要表达方式,以QuartusⅡ5.1开发软件等为设计工具设计的电子密码锁,由于其能够实现密码输入、密码校验、密码设置和更改等功能,因此,能够满足社会对安全防盗的需求。 本设计的各个模块由相应的VHDL程序具体实现,并在QuartusⅡ5.1环境下进行了整体电路的模拟仿真,最终实现“密码锁控制器设计”的要求。 2 设计内容和要求 2.1 设计内容: 题目:电子密码锁 内容:设计一个4位串行数字锁。 (1)开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮一个指示灯。否则进入“错误”状态,并发出报警信号。 (2)锁内的密码可调,且预置方便,保密性好。 (3)串行数字锁的报警由点亮一个灯,直到按下复位开关,报警才停下。此时,数字锁又自动等待下一个开锁状态。 要求: (1)通过查阅相关技术资料,详细描述电子密码锁的基本原理。 (2)编写电子密码锁的Verilog HDL或VHDL程序,并仿真编译下载验证。 (3)给出完整的系统顶层模块图与波形仿真图。

电子密码锁单片机课程设计

电子密码锁单片机课程设计

单片机原理与应用技术课程设计报告 电子密码锁设计 专业班级:电气 124 姓名: 时间:2015年1月2日 指导教师:秦国庆 2015年1月2日

电子密码锁课程设计任务书 1.设计目的与要求 设计出一个电子密码锁。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)状态显示功能:锁定状态时系统用3位数码管显示OFF, 用3位码管显示成功开锁次 数;成功开锁时用3位数码管 显示888,用3位数码管显示 成功开锁次数。 (2)密码设定功能:通过一个4×4的矩阵式键盘可以任意设 置用户密码(1-26位长度), 同时系统掉电后能自动记忆 和存储密码在系统中。(3)报警和加锁功能:密码的输入

时间超过12秒或者连续3次 输入失败,声音报警同时锁定 系统,不让再次输入密码。此 时只有使用管理员密码方能 对系统解锁。 2.设计内容 (1)画出电路原理图,正确使用逻辑关系; (2)确定元器件及元件参数; (3)进行电路模拟仿真; (4)SCH文件生成与打印输出; 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。 1

电子密码锁 电气124 赵政权 摘要:电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。本设计利用51单片机编程控制原理,采用矩阵键盘、数码管显示、数据存储器和报警系统构成电子密码锁的设计成品。其中数码管使用两组三位一体共阳数码管,数据存储器使用AT24C04芯片通过IIC协议实现数据的传输,矩阵键盘用执行效率极高的代码扫描控制。使用Keil4.6编写程序代码,用Proteus进行仿真,无误后使用Altium制图,最终做成能使用的成品。 关键词: 51单片机 IIC协议矩阵键盘动态显示 Keil Proteus Altium 2

电子密码锁设计

《嵌入式系统》课程设计报告 题目 院系:机电学院 学生姓名:任 专业: 班级: 1 1 1 指导教师: 完成时间:2014-10-17

目录 1 系统总体方案设计 0 2 硬件电路设计 (2) 2.1 键盘电路设计 (2) 2.2 LCD1206显示电路 (3) 2.3 响应电路 (5) 3 软件设计 (6) 3.1软件设计思路 (5) 3.2 子程序 (6) 4 系统调试 (9) 5 心得体会 (10) 6 参考文献 (11) 附录 (12) (1)源程序 (12) (2)硬件原理图 (15)

摘要:随着人们对安全的重视和科技的发展,许多电子智能锁(指纹识别、IC卡辨认)已在国内外相继面世。但是这些产品的特点是针对特定的指纹和有效卡,只能适用于保密要求的箱、柜、门等。而且指纹识识别器若在公共场所使用存在容易机械损坏,IC卡还存在容易丢失、损坏等特点。加上其成本较高,一定程度上限制了这类产品的普及和推广。鉴于目前的技术水平与市场的接收程度,电子密码锁是这类电子防盗产品的主流。 关键词:4×4矩阵键盘;stm32;密码锁;LCD1602

1 系统总体方案设计 1.1采用数字电路控制 其原理方框图如图1-1所示。 图1.1 数字密码锁电路方案 密码锁电路包含:键盘输入、密码修改、密码检测、开锁电路、执行电路、键盘输入次数锁定电路。 1.2 采用一种是用以stm32为核心的控制方案 利用其灵活的编程设计及其控制的准确性,不但能实现基本的密码锁功能,还能添加调电存储、声光提示甚至添加遥控控制功能。其原理如图1.2所示。 图1.2 stm32控制方案

vhdl密码锁

Vhdl短学期实验——密码锁设计 小组成员: 04008230 李黎 04008228 陈宗渊 04008211 周炳宇

一、课题描述: 用于模仿密码锁的工作过程。完成密码锁的核心控制功能。 二、功能要求: 设计一个密码锁,平时处于等待状态。管理员可以设置或更该密码。如果不预置密码,密码缺省为“999999”。用户如果需要开锁,按相应的按键进入输入密码状态,输入6位密码,按下确定键后,若密码正确,锁打开,若密码错误,将提示密码错误,要求重新输入,三次输入都错误,将发出报警信号。报警后,只有管理员作相应的处理才能停止报警。用户输入密码时,若输入错误,在按下确定键之前,可以通过按取消键重新输入。正确开锁后,用户处理完毕后,按下确定键,系统回到等待状态。系统操作过程中,只要密码锁没有打开,如果60秒没有对系统操作,系统回到等待状态。注意:输入按键信号时必须一个按键一个按键输入,不得6个按键一起输入。 三、设计流程: 1.结构框图:

①按键输入; ②复位(设置缺省密码); ③等待状态 ④工作状态 ⑤修改密码 ⑥提醒错误及报警 ⑦开锁 ⑧恢复等待

2.模块设计: ★控制模块:实现输入输出,实现等待工作的转换,实现开锁及报警; ★比较模块:比较输入密码与正确密码 ★寄存模块:存放密码 ★计数及使能模块:(1)输入个数为6,多于无效自动忽略; (2)60s的空闲时间,无操作返回等待; (3)错误次数为3 (4)进入工作状态,是能段即打开,直到进入等待。 四、具体实现: ★控制模块: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ctrl is port ( change,vers,keysign : in std_logic; ok,cancel : in std_logic; clk : in std_logic; result : in std_logic; wt : in std_logic; enable : out std_logic ); end ctrl; signal sec : integer range 0 to 60; begin process(clk) begin if (clk'event and clk='1') then if (vers='1') then enable<='1'; end if; if (wt='1' and result='1') then

数字电子技术课程设计电子密码锁

课程设计说明书 课程名称: 数字电子技术课程设计 题目:电子密码锁 学生姓名: 专业: 班级: 学号: 指导教师: 日期:年月日

电子密码锁 一、设计任务与要求 1.用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁。 2.在锁的控制电路中设一个可以修改的4位代码,当输入的代码和控制电路的代码一致是锁打开。 3.用红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁 4.如5s内未将锁打开,则电路自动复位进入自锁状态,并发报警信号. 二、方案设计与论证 1、用按键输入四位十进制数字,输入密码要存储。 2、比较输入密码和原始密码.当输入正确密码时,给出开锁信号,开锁信号用一个绿色指示灯表示,绿灯亮表示密码输入正确;如果输入密码不正确,用红灯表示。 3、锁的开关用红灯和绿灯表示,一次只能亮一盏。红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁。 4、设置倒计时电路和自锁电路。如果密码在5s内未能输入正确则发出报警声,并且自锁电路。 5、设置密码设置开关,开关闭合后,允许设置密码,设置好密码后,打开此开关。 6、需要在输入密码开始时识别输入,并由此触发计时电路. 方案一用74LS147译码器来把按键输入转化为二进制。通过8片四位寄存器74LS194实现密码功能,其中四片用来存储预置密码,另四片则用来存储输入的密码。当密码开始输入时开始计时,通过74LS192计数器实现计时功能;然后在密码输入期间,用74LS138数据选择器来选片存储。数据选择器的输入端又一个两位的二进制的加法计数器来控制,当键盘有按键输入时计数器就加1,当一个按键按完后会轮到下一个芯片存储。自锁功能利用74LS138来控制.通过四片74LS85芯片判断原始密码和输入密码是否相同,接着用指示灯来表示密码的输入正确与否,如果密码没有输入正确的话,则红灯亮,否则则绿灯亮。若是没在规定时间输入正确密码,则会发出警报信号(蜂鸣器响).

数字电路密码锁设计

电子密码锁 摘要本文的电子密码锁利用数字逻辑电路,实现对门的电子控制,并且有各种附加电路保证电路能够安工作,有极高的安全系数。 关键词电子密码锁电压比较器555单稳态电路计数器JK触发器UPS电源。 1引言 随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的亲呢。 设计本课题时构思了两种方案:一种是用以A T89C2051为核心的单片机控制方案;另一种是用以74LS112双JK触发器构成的数字逻辑电路控制方案。考虑到单片机方案原理复杂,而且调试较为繁琐,所以本文采用后一种方案。 2 总体方案设计 2.1设计思路 共设了9个用户输入键,其中只有4个是有效的密码按键,其它的都是干扰按键,若按下干扰键,键盘输入电路自动清零,原先输入的密码无效,需要重新输入;如果用户输入密码的时间超过40秒(一般情况下,用户不会超过40秒,若用户觉得不便,还可以修改)电路将报警80秒,若电路连续报警三次,电路将锁定键盘5分钟,防止他人的非法操作。 2.2总体方框图

3 设计原理分析 电路由两大部分组成:密码锁电路和备用电源(UPS),其中设置UPS 电源是为了防止因为停电 造成的密码锁电路失效,使用户免遭麻烦。 密码锁电路包含:键盘输入、密码修改、密码检测、开锁电路、执行电路、报警电路、键盘输入次数锁定电路。 3.1 键盘输入、密码修改、密码检测、开锁及执行电路 . 其电路如下图1所示: 开关K1~K9是用户的输入密码的键盘,用户可以通过开关输入密码,开关两端的电容是为了提高开关速度,电路先自动将IC1~IC4清零,由报警电路送来的清零信号经C25送到T11基极,使T11 导通,其集电极输出低电平,送往IC1~IC4,实现清零。 密码修改电路由双刀双掷开关S1~S4组成(如图2所示), 它是利用开关切换的原理实现密码的修改。例如要设定密码为1458,可以拨动开关S1向左,S2向右,S3向左,S4向右,即可实现密码的修改,由于输入的密码要经过S1~S4的选择,也就实现了密码的校验。本电路有16组的密码可供修改。 由两块74LS112(双JK 触发器,包含IC1~IC4)组成密码检测电路。由于IC1处于计数状态,当用户按下第一个正确的密码后,CLK 端出现了一个负的下降沿,IC1计数,Q 端输出为高电平,用户依次按下有效的密码,IC2~IC3也依次输出高电平,送入与门IC5,使其输出开锁的高电平信号送往IC13的2脚,执行电路动作,实现开锁。 执行电路是由一块555单稳态电路(IC13),以及由T10、 T11组成的达林顿管构成。若IC13的2脚输入一高电平,则3脚输出高电平,使T10导通,T11导通,电磁阀开启,实现开门,同时T10集电极上接的D5(绿色发光二极管)发亮,表示开门,20秒后,555电路状态翻转,电磁阀停止工作,以节电。其中电磁阀并联的电容C24使为了提高电磁阀的力矩。 图1 键盘输入、密码修改、密码检测、开锁、执行电路 3.2 报警电路 报警电路实现的功能是:当输入密码的时间超过40秒(一般情况下用户输入不会超过),电路报警80秒,防止他人恶意开锁。 电路包含两大部分,2分钟延时和40秒延时电路。其工作原理是当用户开始输入密码时,电路开始2分钟计时,超出40秒,电路开始80秒的报警。如图3所示 CLK 1 J 3 K 2 CD 15 SD 4 Q 5 Q 6 IC2A CLK 1 J 3 K 2 CD 15 SD 4 Q 5 Q 6 IC3A CLK 1 J 3 K 2 CD 15 SD 4 Q 5 Q 6 IC1A R26 R25 R24 R2 R20 VCC CLK 1 J 3 K 2 CD 15 SD 4 Q 5 Q 6 IC4A K1 K2 S1 K3 K4 S2 K5 K6 S3 K7 K8 S4 S? TRIG 2 Q 3 R 4 CVolt 5 THR 6 DIS 7 VCC 8 GND 1 V3 C13 20U C12 . . . . T10 T11 D5 10 C14 0.01U C15 0.01U C16 0.01U C17 0.01U C18 0.01U C19 0.01U C20 0.01U C21 0.01U TC13 1M R21 3.3K 电磁锁 清零信号 RD 1 2 4 5 6 IC5A 1 2 3 IC6A 锁定信号 E1 1K X 4 清零信号 RD 74LS112 消除报警信号 74ls08 74ls22 k9 74LS112 74LS113 74LS114 555 T12 9018 C25 47u R20 20k R27 2.2k 来自报警电路 的清零信号 C24 500u

电子密码锁课程设计

目录 摘要 本次课程设计的题目是电子密码校验设计,由输入密码、设定密码、寄存电路、比较电路、显示电路、修改密码等模块组成。 该电子密码锁利用数字逻辑电路,实现对锁的电子控制,突破了传统的机械锁的单一性、保密性低、易撬性的缺点,数字电子密码锁具有保密性高、使用灵活性好、安全系数高的优点。

主要工作部分是将输入密码与正确密码进行比较,密码正确时绿色发光二极管亮,密码错误则红色发光二极管亮。输入电路将6位密码并行输入,密码是否相等利用与非门将输入的密码和预定密码进行比较,当相等时便触发绿色发光二极管,不相等则作用到红色发光二极管和蜂鸣器。 1.设计目的 本课程为电子、通信类专业的独立实践课,该课程设计建立在电路基础、低频与高频电子线路等课程的基础上,主要让学生加深对电子线路理论知识的掌握,使学生能把所学的知识系统地、高效地贯穿到实践中来,避免理论与实践的脱离,同时提高学生的动手能力,并在实践中不断完善理论基础知识,有助于培养学生综合能力。 2.设计要求 1)要求电子器件设计制作密码锁的控制电路,使之在输入正确的代码时,输出信号以 启动执行机构动作,并且用红、绿LED指示关锁、开锁状态。 2)密码锁控制器中存储一个4位代码,当开锁按钮开关设置9位,其中只有4位有效) 的输入代码等于存储代码时启动开锁控制电路,并且用绿灯亮、红灯灭表示开锁状态。 3)从第一个按钮触动后的5秒内若未能将锁打开,则电路自动复位并由扬声器发出 20秒的报警信号,同时用绿灯灭、红灯亮表示关锁状态。 4)要求性能可靠、操作简便。 5)密码锁控制器中存储的4位密码可以修改。 3.方案选择 第一方案555集成电路构成的密码锁电路 图3-1555时基集成电路组成的电子密码锁电路

电子密码锁设计毕业设计论文

*****大学******学院 毕业设计(论文) 设计说明书 设计(论文)题目: 电子密码锁设计 学生:****** 专业:电子信息工程 班级:电信**-* 指导教师:****** 设计日期:2015年6月10日

******大学******学院 毕业设计(论文)任务书 毕业设计(论文)题目: 电子密码锁设计 原始资料: 20世纪80年代后,随着电子锁专用集成电路的出现,电子锁的体积缩小,可靠性提高,成本较高,是适合使用在安全性要求较高的场合,且需要有电源提供能量,使用还局限在一定范围,难以普及,所以对它的研究一直没有明显进展。 目前,在西方发达国家,电子密码锁技术相对先进,种类齐全,电子密码锁已被广泛应用于智能门禁系统中,通过多种更加安全,更加可靠的技术实现大门的管理。在我国电子锁整体水平尚处于国际上70年代左右,电子密码锁的成本还很高,市场上仍以按键电子锁为主,按键式和卡片钥匙式电子锁已引进国际先进水平,现国内有几个厂生产供应市场。但国内自行研制开发的电子锁,其市场结构尚未形成,应用还不广泛。国内的不少企业也引进了世界上先进的技术,发展前景非常可观。希望通过不断的努力,使电子密码锁在我国也能得到广泛的应用。 电子防盗锁广泛应用于金融业,其根本的作用是“授权”,即被“授权”的人才可以存取钱、物。广义上讲,金融业的“授权”主要包括以下三种层次的内容: 1、授予保管权,如使用保管箱、保险箱和保险柜; 2、授予出入权,如出入金库、运钞车和保管室; 3、授予流通权,如自动存取款。 毕业设计(论文)主要内容: 拟在此电子密码锁的系统中设计主要由三部分组成:4×4矩阵键盘接口电路、密码锁的控制电路、输出八段显示电路。另外系统还有LED提示灯,报警蜂鸣器等。系统能完成本机超时报警、超次锁定、修改用户密码基本的密码锁的功能。 本设计的任务拟采用单片机(STC89C52)作为单片机的核心单元,利用单片机串行发射、接收等功能而设计的一款具有本机开锁和报警功能的电子密码锁。本系统成本低廉,功能实用。 本系统根据设定好的密码,采用4×4键盘实现密码的输入功能,当密码输入正确之后,锁就打开,如果输入的密码不正确,就锁定按键3秒钟,同时发出报警声。 (1)单片机型号可选STC89C52。 (2)6位密码的设定在程序中完成。 (3)密码的输入采用4×4键盘实现,输入6位数字后,按回车键结束。 (4)若输入的密码正确,锁打开,同时用发光二极管亮1秒作为提示。 (5)报警可采用蜂鸣器或扬声器。

FPGA根据verilogHDL的密码锁

EDA课程设计 课设名称:密码锁 课设日期: 2014.6.23——7.5 姓名:陈飞 学号:110250101 哈尔滨工业大学(威海) 信电学院电子信息工程 2014.6

一. 所用软件与硬件介绍 1.1所用软件介绍 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 QuartusII可以在XP、Linux以及Unix上使用,除了可以使用TCL脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。 QuartusII支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。 此外,QuartusII 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。 Maxplus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对MaxplusII 的更新支持,QuartusII 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在QuartusII 中包含了许多诸如SignalTapII、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了MaxplusII 友好的图形界面及简便的使用方法。 1.2所用硬件介绍 硬件的总体设计: 故由上图,将整个硬件电路部分主要分成五个部分,即:FPGA电路设计,数码管显示驱动电路设计,温度传感器电路设计,报警电路设计,键盘矩阵

数字逻辑电路课程设计电子密码锁

数字逻辑电路课程设计 课题:电子密码锁设计 姓名: 班级:13通信 学号: 成绩: 指导教师: 开课时间:

目录 摘要 (1) 一课程设计目的内容及安排 (2) 1.1设计目的 (2) 1.2设计内容 (2) 1.3设计安排 (2) 1.4设计内容 (2) 二电子密码锁设计要求及总框图 (3) 2.1设计要求 (3) 2.2总框图 (4) 三各模块电路设计 (5) 3.1密码输入存储比较模块 (5) 3.2五秒计时电路 (6) 3.3二十秒计时电路 (8) 3.4报警电路 (10) 3.5总电路 (11) 四设计心得 (12) 五参考文献 (13)

电子密码锁 摘要:设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。密码输入存储及比较部分使用芯片74LS194及74LS85。五秒及时部分采用芯片74LS161和数码显示管。二十秒报警电路由74LS160,555定时器组成的多谐振荡器,LED灯和蜂鸣器组成。利用multisim对电路进行仿真可以得到结果。 关键词:电子密码锁,计时电路,报警电路

一课程设计目的内容及安排 1.1设计目的 1 根据设计要求,完成对交通信号灯的设计。 2 加强对Multisim10仿真软件的应用。 3 掌握交通信号灯的主要功能与在仿真软件中的实现方法。 4 掌握74LS160,74LS192等功能。 1.2 设计内容 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁; 在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁; 从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。 1.3设计安排

电子密码锁的设计毕业论文

电子密码锁的设计毕业论文 目录 1 绪论 (1) 1.1 引言 (1) 1.2电子锁简介 (2) 1.3电子密码锁的特点 (2) 1.4方案论证与比较 (3) 2 AT89C51单片机概况 (5) 2.1 AT89C51单片机的简介 (5) 2.2 AT89C51单片机的引脚 (6) 2.3 AT89C51单片机复位方式 (8) 3 电路的功能单元设计 (9) 3.1开锁机构 (9) 3.2按键电路设计 (10) 3.3显示电路设计 (12) 3.4AT24C02掉电存储单元的设计 (14) 3.5密码锁的电源电路设计 (15) 3.6设计总框图 (17) 3.7设计总体电路图 (18) 4 程序设计 (19) 4.1主程序流程图 (19)

4.2键盘扫描子程序模块 (20) 4.3数字处理程序模块 (21) 4.4开锁程序 (22) 4.5 密码设置程序 (23) 5 总结 (23) 致谢 (25) 参考文献 (26)

1 绪论 1.1 引言 在日常的生活和工作中, 住宅与部门的安全防、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。密码锁具有安全性高、成本低、功耗低、易操作等优点。 在安全技术防领域,具有防盗报警功能的电子密码锁逐渐代替传统的机械式密码锁,克服了机械式密码锁密码量少、安全性能差的缺点,使密码锁无论在技术上还是在性能上都大大提高一步。随着大规模集成电路技术的发展,特别是单片机的问世,出现了带微处理器的智能密码锁,它除具有电子密码锁的功能外,还引入了智能化管理、专家分析系统等功能,从而使密码锁具有很高的安全性、可靠性,应用日益广泛。 随着人们对安全的重视和科技的发展,许多电子智能锁(指纹识别、IC卡辨认)已在国外相继面世。但是这些产品的特点是针对特定的指纹和有效卡,只能适用于要求的箱、柜、门等。而且指纹识识别器若在公共场所使用存在容易机械损坏,IC 卡还存在容易丢失、损坏等特点。加上其成本较高,一定程度上限制了这类产品的普及和推广。鉴于目前的技术水平与市场的接收程度,电子密码锁是这类电子防盗产品的主流。

基于FPGA的电子密码锁

FPGA电子密码锁 学生姓名: 学生学号: 院(系):电信学院年级专业: 指导教师: 助理指导教师: 二〇一五年五月

摘要 摘要 本次设计是为解决传统锁的安全与方便的问题,设计一个电子密码锁,该密码锁选用现场可编程门阵列FPGA作为电子密码锁的设计,相对于单片机而言FPGA 有一个很大的不同是它采用了硬件电路来实现了所有的算法,这样保证了系统工作时的稳定性,并且由于FPGA的现场可编程特性,对于外部的硬件电路的要求不是很高,这样就使得设计的修改和升级非常的方便,效率也因此增加。 本系统以FPGA为核心,再配以相应的外围电路展开设计,利用EDA技术Quartus II平台和硬件描述语言,实现了一个电子密码锁,并且具有清除密码和修改密码的功能。 关键词FPGA,硬件描述语言,电子密码锁

ABSTRACT This design is to solve the traditional security lock with the convenience of the problem, the design of an electronic password lock, password lock the selected field programmable gate array FPGA as the design of electronic password lock, with respect to the relative to themicrocontroller FPGA there is a big difference is that it uses hardware circuit to achieve all of the algorithm, so that the stability of the system work, and because the FPGA field programmable characteristic, the hardware circuit of the external demand is not very high,which makes the design modification and upgrade is very convenient, thus increasingefficiency. This system uses FPGA as the core, together with the corresponding peripheral circuitdesign, using EDA technology Quartus II platform and hardware description language, to achieve an electronic password lock. Key words FPGA,Hardware Description Languages ,Electronic locks

相关主题
文本预览
相关文档 最新文档