当前位置:文档之家› 电子技术课程设计指导书程序

电子技术课程设计指导书程序

电子技术课程设计指导书程序
电子技术课程设计指导书程序

电子技术课程设计指导书

程序附表

第二部分基本性实验实验1基本逻辑门电路的VHDL设计

设计程序

1. 2 输入与非门的VHDL 描述

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY nand2_lab1 IS

PORT(a, b : IN STD_LOGIC;

y: OUT STD_LOGIC);

END nand2_lab1;

ARCHITECTURE one OF nand2_lab1 IS

BEGIN

y<= a nand b;

END one;

2. 2输入或门的VHDL 描述

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY or2_lab IS

PORT(a, b : IN STD_LOGIC;

y: OUT STD_LOGIC);

END or2_lab;

ARCHITECTURE one OF or2_lab IS

BEGIN

y<= a or b;

END one;

3. 非门的VHDL 描述

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY hnot IS

PORT(a : IN STD_LOGIC;

y: OUT STD_LOGIC);

END hnot;

ARCHITECTURE one OF hnot IS

BEGIN

y<= not a;

END one;

4. 异或门的VHDL 描述

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; ENTITY xor2_lab IS

PORT(a, b : IN STD_LOGIC;

y: OUT STD_LOGIC);

END xor2_lab;

ARCHITECTURE one OF xor2_lab IS BEGIN

y<= a xor b;

END one;

实验2组合逻辑电路的VHDL设计

设计程序

1. 8-3编码器的VHDL 描述

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY encoder83 IS

PORT( d : IN STD_LOGIC_VECTOR(7 DOWNTO 0);

encode: OUT STD_LOGIC_VECTOR(2 DOWNTO 0));

END encoder83;

ARCHITECTURE one OF encoder83 IS

BEGIN

encode <= "111" when d(7) = '1' else

"110" when d(6) = '1' else

"101" when d(5) = '1' else

"100" when d(4) = '1' else

"011" when d(3) = '1' else

"010" when d(2) = '1' else

"001" when d(1) = '1' else

"000" when d(0) = '1' ;

END one;

2. 3线-8线通用译码器的VHDL 描述

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_arith.all;

ENTITY decoder IS

PORT(a,b,c : IN STD_LOGIC;

G1,G2a,G2b : IN STD_LOGIC;

Y : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)); END decoder;

ARCHITECTURE decoder_arc OF decoder IS

SIGNAL indata : STD_LOGIC_VECTOR (2 DOWNTO 0);

BEGIN

indata <=a&b&c;

PROCESS(indata, G1,G2a,G2b )

BEGIN

IF (G1=1 and G2a=0 and G2b=0 )

CASE indata IS

WHEN “000” => Y <= “11111110”;

WHEN “001” => Y <= “11111101”;

WHEN “010” => Y <= “11111011”;

WHEN “011” => Y <= “11110111”;

WHEN “100” => Y <= “11101111”;

WHEN “101” => Y <= “11011111”;

WHEN “110” => Y <= “10111111”;

WHEN “111” => Y <= “01111111”;

WHEN OTHERS => Y <= NULL;

END CASE;

ELSE Y <= “11111111” ;

END IF;

END PROCESS;

END decoder_arc;

3. 7段数码显示译码器的VHDL 描述

LIBRARY IEEE;

USE ieee.std_logic_1164.all;

ENTITY leddecoder IS

PORT (a3,a2,a1,a0 :in std_logic;

Ya,Yb,Yc,Yd,Ye,Yf,Yg:out std_logic);

END leddecoder;

ARCHITECTURE led_arc of leddecoder IS

signal A: std_logic_vector(3 downto 0);

signal Y: std_logic_vector(6 downto 0);

BEGIN

A<= a3 & a2 & a1 & a0 ;

Ya <= Y(6);

Yb <= Y(5);

Yc <= Y(4);

Yd <= Y(3);

Ye <= Y(2);

Yf <= Y(1);

Yg <= Y(0);

case A is

when "0000" => Y <=""0000001";

when "0001" => Y <="1001111";

when "0010" => Y <="0010010";

when "0011" =>Y<="0000110";

when "0100" => Y <="1001100";

when "0101" =>Y <="0100100";

when "0110" => Y <="0100000";

when "0111" => Y <="0001111";

when "1000" => Y <="0000000";

when "1001" => Y <="0000100";

when "1010" => Y <="0001000";

when "1011" =>Y<="1100000";

when "1100" => Y <="0110001";

when "1101" =>Y <="1000010";

when "1110" => Y <="0110000";

when "1111" => Y <="0111000";

when others => Y <="1111111";

end case;

END led_arc;

4. 4选1数据选择器的VHDL 描述

LIBRARY ieee;

USE ieee.std_logic_1164.all; ENTITY mux41 is

PORT (d0,d1,d2,d3 : IN STD_LOGIC;

s : IN STD_LOGIC_VECTOR(1 DOWNTO 0);

z : OUT STD_LOGIC);

END mux41;

ARCHITECTURE one OF mux41 IS

BEGIN

PROCESS (s,d0,d1,d2,d3)

BEGIN

CASE s IS

WHEN "00" => z<=d0;

WHEN "01" => z<=d1;

WHEN "10" => z<=d2;

WHEN "11" => z<=d3;

WHEN OTHERS =>z<= 'x';

END CASE;

END PROCESS; END one;

实验3时序逻辑电路的VHDL设计设计程序

1.触发器的VHDL描述

LIBRARY ieee; // D触发器

USE ieee.std_logic_1164.all;

ENTITY dff_logic IS

PORT( d,clk: IN STD_LOGIC;

q : OUT STD_LOGIC);

END dff_logic;

ARCHITECTURE dff1 OF dff_logic IS

BEGIN

PROCESS(clk)

BEGIN

IF clk’EVENT AND clk=’1 ’ THEN

q<=d;

END IF;

END PROCESS;

END dff1;

2.4位二进制加法计数器的VHDL描述

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_arith.all;

USE ieee.std_logic_unsigned.all;

ENTITY cnt4 IS

PORT(CLK: IN STD_LOGIC;

Q : BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); END cnt4;

ARCHITECTURE bhv OF cnt4 IS

BEGIN

PROCESS(CLK)

BEGIN

IF CLK'EVENT AND CLK='1'THEN

Q<=Q+1;

END IF;

END PROCESS;

END bhv;

3.十进制计数器的VHDL描述

ENTITY count10 is

PORT (cp : IN STD_LOGIC;

q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END count10;

ARCHITECTURE one OF count10 IS

SIGNAL count :STD_LOGIC_VECTOR(3 DOWNTO 0) ;

BEGIN

PROCESS (cp)

BEGIN

IF cp'EVENT AND cp='1' THEN

IF count <="1001" THEN

count ="0000";

ELSE count <= count +1;

END IF;

END IF;

END PROCESS;

q<= count;

END one;

4.4位基本寄存器的VHDL描述

ENTITY registerb is

PORT (cp,reset : IN S TD_LOGIC;

data : IN STD_LOGIC_VECTOR(3 DOWNTO 0); q: OUT STD_LOGIC_VECTOR(3 DOWNTO 0) );

END registerb;

ARCHITECTURE one OF registerb IS

BEGIN

PROCESS (cp)

BEGIN

IF cp'EVENT AND cp='1' THEN

IF reset='1' THEN

q<="0000";

ELSE

q<= data;

END IF;

END IF;

END PROCESS;

END one;

实验4 QuartusII的原理图设计和层次化设计

设计程序

1. 1位全加器

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

entity full_adder is

port (a, b, c: in std_logic;

carry, sum: out std_logic);

end full_adder;

architecture a of full_adder is

begin

sum<=a xor b xor c;

carry<=(a and b) or (a and c) or (b and c);

end a;

2. 由全加器完成4位加法器的设计

library ieee; --四位加法器

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

entity b4_adder is

port (a, b: in std_logic_vector (3 downto 0);

s : out std_logic_vector (4 downto 0));

end b4_adder;

architecture a of b4_adder is

signal c: std_logic_vector (4 downto 0);

component full_Adder --元件说明语句,形成底层元件

port ( a, b, c : in std_logic;

carry, sum: out std_logic);

end component;

begin

u0: full_adder port map (a (0), b (0), c (0), c (1), s (0));--元件例化语句,调用底层元件

u1: full_adder port map (a (1), b (1), c (1), c (2), s (1));--采用位置映射,建立调用关联关系u2: full_adder port map (a (2), b (2), c (2), c (3), s (2));

u3: full_adder port map (a (3), b (3), c (3), c (4), s (3));

c(0) <= '0';

s(4)<=c(4);

End a;

实验5 算术运算电路的VHDL设计

设计程序

1.实现4位二进制数a、b的加法、减法和乘法运算的VHDL程序设计。

library IEEE;

use IEEE.std_logic_1164.all;

use IEEE.std_logic_arith.all;

use IEEE.std_logic_unsigned.all;

entity arithmetic is

port (a,b: in std_logic_vector (3 downto 0); --定义两个输入4位二进制数a和b q1 : out std_logic_vector (4 downto 0); --定义加法运算和的输出q1

q2 : out std_logic_vector (3 downto 0); --定义减法运算差的输出q2

q3 : out std_logic_vector (7 downto 0));--定义乘法运算积的输出q3

end arithmetic;

architecture behave of arithmetic is

begin

q1<= ( '0'&a)+( '0' &b); --实现二进制数的加法运算

q2<=a-b; --实现二进制数的减法运算

q3<=a*b; --实现二进制数的乘法运算

end behave;

2. 实现两个8位二进制数是否相等的比较,输入数据分别是a和b,输出为y,当a=b时,y=1,否则y=0。

library ieee;

use ieee.std_logic_1164.all;

entity compare is

port (a,b: in std_logic_vector (7 downto 0); --定义两个输入8位二进制数据a和b y: out std_logic); --定义比较输出y

end compare;

architecture behave of compare is

begin

process (a,b)

begin

if (a=b) then y<='1'; --两个数据相等,则输出y=1

else y<='0'; --两个数据不等,则输出y=0

end if;

end process;

end behave;

3. 求补器的输入为a(7)~a(0),输出为b(7)~b(0),设a(7)和b(7)为符号位。输入a(7) = 0,表明a是正数时,输出正数的补码b等于原码a;当输入a(7) = 1,表明a是负数,输出负数的补码b等于原码求反加1。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity complement is

port (a: in std_logic_vector (7 downto 0); --定义输入数据a(7..0) b: out std_logic_vector (7 downto 0)); --定义输出数据b(7..0)

end complement;

architecture behave of complement is

begin

process (a)

begin

if (a (7) ='0' ) then b<=a; --当输入数据为正数,实现正数补码运算

else b<='1'& (not a (6 downto 0) + '1' ); --当输入数据为负数,实现负数补码运算end if;

end process;

end behave;

电子技术基础数字温度计课程设计

课程设计(论文) 题目名称数字温度计 课程名称电子技术课程设计 学生姓名屈鹏 学号1141201112 系、专业电气工程系电气工程及其自动化 指导教师李海娜 2013年12月17日

邵阳学院课程设计(论文)任务书 年级专业11级电气工程及其自动化学生姓名屈鹏学号1141201112 题目名称数字温度计设计设计时间2013.12.9—2013.12.20 课程名称电子技术课程设计课程编号121202306 设计地点电工电子实验室408、409 一、课程设计(论文)目的 电子技术课程设计是电气工程及自动化专业的一个重要的实践性教学环节,是对已学模拟电子技术、数字电子技术知识的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成,着重培养学生工程实践的动手能力、创新能力和进行综合设计的能力,并要求能设计出完整的电路或产品,从而为以后从事电子电路设计、研制电子产品奠定坚实的基础。 二、已知技术参数和条件 用中小规模集成芯片设计并制作一数字式温度计,具体要求如下: 1、温度范围0-100度。 2、测量精度0.2度。 3、三位LED数码管显示温度。 三、任务和要求 1.按学校规定的格式编写设计论文。 2.论文主要内容有:①课题名称。②设计任务和要求。③方案选择与论证。④方案的原理框图,系统电路图,以及运行说明;单元电路设计与计算说明;元器件选择和电路参数计算的说明等。 ⑤必须用proteus或其它仿真软件对设计电路仿真调试。对调试中出现的问题进行分析,并说明解决的措施;测试、记录、整理与结果分析。⑥收获体会、存在问题和进一步的改进意见等。 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

《面向对象程序设计》实验指导书

《面向对象程序设计》课程实验指导书

实验一 Java开发环境的安装与配置 一、实验目的: 1. 掌握JDK、Eclipse的安装步骤。 2. 理解环境变量PATH, CLASSPATH的作用,以及Eclipse的配置。 3. 熟悉Eclipse的编辑、编译与调试环境,编写简单的Application程序 编译执行并完成调试。 二、实验内容: 熟悉JDK的安装和配置,学习如何编写并运行简单的Application程序 (能输出一条简单的问候信息); 三、实验要求: 1. 能正确地安装JDK 2. 熟悉环境变量PATH, CLASSPATH设置方法,熟悉编辑环境 3. 调试程序、编译,运行后得到正确的结果 四、实验步骤: 1.从https://www.doczj.com/doc/304213593.html,/technetwork/java/index.html上下载最新版本的JDK,并安装。 2.设置环境变量PATH, CLASSPATH, 使得Java程序能正确编译和执行。 3.在Eclipse环境下编写一个HelloWorld.java程序, (1)在主方法static public void main(String[ ] args)中调用System. out.println()方法,使程序输出一条问候信息; (2) 编译运行程序,观察运行情况和输出结果。(使用JDK环境,调用 javac.exe和java.exe编译和执行程序)

实验二 Java语言基础 一、实验目的: 熟悉Java基本语法,基本数据类型,各种运算符及表达式的使用,掌握运算符优先级,熟悉使用Java的选择语句,循环语句。 二、实验内容: 1.编写Java Application程序,输出1900年到2000年之间的所有润年。 (闰年的判断条件:能被4整除且不能被100整除,或能被400整除); 2.金字塔:Pyramid.java 在屏幕上显示一个由星型符号“*”组成的金字塔图案,示例如下: * *** ***** ******* 要求:金字塔高度h,可以由用户设置。 三、实验要求: 1. 正确使用Java语言的选择语句,循环语句; 2. 调试程序、编译,运行后得到正确的结果 3.写出实验报告。要求记录编译和执行Java程序当中的系统错误信息提成 示,并给出解决办法。 四、实验步骤: 1.编写主类; 2.在static public void main(String[ ] args)方法中加入实现要求功能 的代码,主要步骤如下: (第一题)从1900到2000循环,按照闰年的判断条件是则输出1900年到2000年之间的所有润年。 (第二题)允许用户设置金字塔高度。 4. 编译运行程序,观察输出结果是否正确。

电子技术课程设计题目

电子技术课程设计一、课程设计目的: 1.电子技术课程设计是机电专业学生一个重要实践环节,主要让学生通过自己设计并制作一个实用电子产品,巩固加深并运用在“模拟电子技术”课程中所学的理论知识; 2.经过查资料、选方案、设计电路、撰写设计报告、答辩等,加强在电子技术方面解决实际问题的能力,基本掌握常用模拟电子线路的一般设计方法、设计步骤和设计工具,提高模拟电子线路的设计、制作、调试和测试能力; 3.课程设计是为理论联系实际,培养学生动手能力,提高和培养创新能力,通过熟悉并学会选用电子元器件,为后续课程的学习、毕业设计、毕业后从事生产和科研工作打下基础。 二、课程设计收获: 1.学习电路的基本设计方法;加深对课堂知识的理解和应用。 2.完成指定的设计任务,理论联系实际,实现书本知识到工程实践的过渡; 3.学会设计报告的撰写方法。 三、课程设计教学方式: 以学生独立设计为主,教师指导为辅。 四、课程设计一般方法 1. 淡化分立电路设计,强调集成电路的应用 一个实用的电子系统通常是由多个单元电路组成的,在进行电子系统设计时,既要考虑总体电路的设计,同时还要考虑各个单元电路的选择、设计以及它们之间的相互连接。由于各种通用、专用的模拟、数字集成电路的出现,所以实现一个电子系统时,根据电子系统框图,多数情况下只有少量的电子电路的参数计算,更多的是系统框图中各部分电子电路要正确采用集成电路芯片来实现。 2. 电子系统内容步骤: 总体方案框图---单元电路设计与参数计算---电子元件选择---单元电路之间连接---电路搭接调试---电路修改---绘制总体电路---撰写设计报告(课程设计说明书) (1)总体方案框图: 反映设计电路要求,按一定信息流向,由单元电路组成的合理框图。 比如一个函数发生器电路的框图: (2)单元电路设计与参数计算---电子元件选择: 基本模拟单元电路有:稳压电源电路,信号放大电路,信号产生电路,信号处理 电路(电压比较器,积分电路,微分电路,滤波电路等),集成功放电路等。 基本数字单元电路有:脉冲波形产生与整形电路(包括振荡器,单稳态触发器,施密特触发器),编码器,译码器,数据选择器,数据比较器,计数器,寄存器,存储器等。 为了保证单元电路达到设计要求,必须对某些单元电路进行参数计算和电子元件 选择,比如:放大电路中各个电阻值、放大倍数计算;振荡电路中的电阻、电容、振荡频率、振荡幅值的计算;单稳态触发器中的电阻、电容、输出脉冲宽度的计 算等;单元电路中电子元件的工作电压、电流等容量选择。

测量平差课程设计指导书word文档

《误差理论与测量平差》课程设计指导书 (测绘工程专业) 2011年6月

《误差理论与测量平差》课程设计指导书 适用专业:测绘工程 学分数:1 学时数:1周 1.设计的目的 《测量平差》是一门理论与实践并重的课程,测量平差课程设计是测量数据处理理论学习的一个重要实践环节,是在学生学习了专业基础理论课《误差理论与测量平差基础》课程后进行的一门实践课程,其目的是增强学生对测量平差基础理论的理解,牢固掌握测量平差的基本原理和公式,熟悉测量数据处理的基本原理和方法,灵活准确地应用于解决各类数据处理的实际问题,并能用所学的计算机基础知识,编制简单的计算程序。 2.设计的任务 (1)该课的课程设计安排在理论学习结束之后进行的,主要是平面控制网和高程控制网严密平差,时间为一周。 (2)通过课程设计,培养学生运用本课程基本理论知识和技能,分析和解决本课程范围内的实际工程问题的能力,加深对课程理论的理解与应用。 (3)在指导老师的指导下,要求每个学生独立完成本课程设计的全部内容。

3.课程设计要求 3.1基本要求: 测量平差课程设计要求每一个学生必须遵守课程设计的具体项目的要求,独立完成设计内容,并上交设计报告。在学习知识、培养能力的过程中,树立严谨、求实、勤奋、进取的良好学风。 课程设计前学生应认真复习教材有关内容和《测量平差》课程设计指导书,务必弄清基本概念和本次课程设计的目的、要求及应注意的事项,以保证保质保量的按时完成设计任务。 3.2具体设计项目内容及要求: 3.2.1高程控制网严密平差及精度评定 总体思路:现有等级水准网的全部观测数据及网型、起算数据。要求对该水准网,分别用条件、间接两种方法进行严密平差,并进行平差模型的正确性检验。 水准网的条件平差: ①列条件平差值方程、改正数条件方程、法方程; ②利用自编计算程序解算基础方程,求出观测值的平 差值、待定点的高程平差值; ③评定观测值平差值的精度和高程平差值的精度。 ④进行平差模型正确性的假设检验。 水准网的间接平差: ①列观测值平差值方程、误差方程、法方程; ②利用自编计算程序解算基础方程,求出观测值的平

电子技术课程设计

电子技术课程设计PWM调制解调器 班级:电信1301 姓名:曹剑钰 学号:3130503028

一、设计任务与要求 1.要求 设计一款PWM(脉冲宽度调制)电路,利用一可调直流电压调制矩形波脉冲宽度(占空比)。 信号频率10kHz; 占空比调制范围10%~90%; 设计一款PWM解调电路,利用50Hz低频正弦信号接入调制电路,调制信号输入解调电路,输入与原始信号等比例正弦波。 2.提高要求: 设计一50Hz正弦波振荡电路进行PWM调制。 3.限制: 不得使用理想运放、二极管、三极管、场效应管; 基本要求的输入电压使用固定恒压源接自行设计的电路实现可调; 同步方波不得利用信号发生器等软件提供设备产生。 二、总体方案设计 1.脉宽调制方案: 方案一:三角波脉宽调制,三角波电路波形可以由积分电路实现,把方波电压作为积分电路的输入电压,经过积分电路之后就形成三角波,再通过电压比较器与可调直流电压进行比较,通过调节直流电源来调制脉宽。 方案二:锯齿波脉宽调制,锯齿波采用定时器NE555接成无稳态多谐振荡器,和方案一相似,利用直流电压源比较大小调节方波脉宽。 方案三:利用PC机接口控制脉宽调制的PWM电路。 比较:方案一结构简单,思路清晰,容易实现,元器件常用 方案二与方案一相似,缺点是调整脉冲宽度不如方案一 方案三元器件先进,思路不如方案一清晰简单,最好先择了方案一 2.正弦波产生方案: 方案一:RC正弦波振荡电路。 RC正弦波振荡电路一般用来产生1Hz--10MHz范围内的低频信号,由RC 串并联网络组成,也称为文氏桥振荡电路,串并联在此作为选频和反馈网络。电路的振荡频率为f=1/2πRC,为了产生振荡,要求电路满足自激震荡条件,振荡器在某一频率振荡的条件为:AF=1.该电路主要用来产生低频信号。

电子技术课程设计大纲-本科

《电子技术课程设计》教学大纲 一、性质及目的 电子技术课程设计是自动化、电子信息工程等专业的主要实践性教学环节。通过利用数字电路和模拟电路各种具有不同功能单元电路的设计、安装和调试方法,在单元电路设计的基础上,让学生根据题目要求的技术指标,独立进行电路设计估算、实验测试与调整,制作出一个实际电子产品和写出总结报告。把定性分析、定量估算和实验调整三者有机地结合起来。要做到理论指导下的实践。并通过这一综合性训练培养学生严肃认真的工作作风和科学态度,为以后从事电子电路设计和研制电子产品打下初步基础。 本大纲根据电子科学技术的发展和我校的具体情况制定。 二、培养目标 1.总体目标:本课程的目标是让学生在掌握模拟和数字电子技术的基础上,通过典型实践题目的设计与实现,使其加深对模拟和数字电子技术知识的理解,初步掌握现代电子系统的设计方法,培养分析、解决实际问题的能力,提高工程设计的技能。 2.知识目标: (1)熟悉各种模拟电路和数字电路的内容; (2)按要求完成整个电路的分析和设计; (3)对整个系统仿真并会调试。 3.能力目标: (1) 能熟练掌握操作万用表、信号发生器、示波器、稳压电源等常用电子仪器仪表; (2)能熟练查阅常用电子元器件和芯片的规格、型号等资料; (3)能熟练用Multisim对系统进行仿真; (4)完成整个电路的硬件连接,并学会排错、解决故障; (5)掌握整个系统的连调。

4.素质目标 (1)具有良好的职业道德、规范操作意识; (2)具备良好的团队合作精神和组织协调能力; (3)具备严谨的科学作风和不断创新的能力; (4)具有良好的语言文字表达能力。 三、内容及要求 项目一:方波-三角波-正弦波函数发生器 1.任务:用555定时器构成的方波、三角波、正弦波发生器 2.要求:(1)方波发生器电路输出频率范围:10-1KH可调;占空比0-100%连续可调;输出方波 Vp_p<=12v;输出三角波Vp-p>0.2v;输出正弦波Vp-p<1v; (2)设计以上电路工作电源。 项目二:篮球竞赛30秒定时电路 1.任务:设计一个符合功能的30秒篮球计时器电路 2.要求:(1)具有显示30秒的计时功能; (2)设置外部操作开关,控制计时器的直接置数、清零、启动和暂停; (3)计时器为连续30秒递减计时时,其计时间间隔为1秒; (4)当计时器递减计时到零时,数码管显示器不能灭灯,LED变亮报警。 项目三:数控直流稳压电源 1.任务:设计一符合要求的数控直流稳压电源 2.要求:(1)直流电源要求输出精度高,步进电压在0.1V 左右,并且调整方便; (2)使用通用器件; (3)要求输出电压在0~9.9V 项目四:数字式温度测量电路 1.任务:设计一能对物体进行温度测量的电路 2.要求:(1)被测温度和控制温度均可数字显示; (2)测量温度为0~1200C,精度为±0.50C; (3)控制温度连续可调,精度±1OC; (4)温度超过额定值时,产生声、光报警信号。 项目五:声控小夜灯 1.任务:设计一声光控灯控制系统 2.要求:(1)同时实现光控和声控;

电子技术课程设计的基本方法和步骤模板

电子技术课程设计的基本方法和步骤

电子技术课程设计的基本方法和步骤 一、明确电子系统的设计任务 对系统的设计任务进行具体分析, 充分了解系统的性能、指标及要求, 明确系统应完成的任务。 二、总体方案的设计与选择 1、查阅文献, 根据掌握的资料和已有条件, 完成方案原理的构想; 2、提出多种原理方案 3、原理方案的比较、选择与确定 4、将系统任务的分解成若干个单元电路, 并画出整机原理框图, 完成系统的功能设计。 三、单元电路的设计、参数计算与器件选择 1、单元电路设计 每个单元电路设计前都需明确本单元电路的任务, 详细拟订出单元电路的性能指标, 与前后级之间的关系, 分析电路的组成形式。具体设计时, 能够模拟成熟的先进电路, 也能够进行创新和改进, 但都必须保证性能要求。而且, 不但单元电路本身要求设计合理, 各单元电路间也要相互配合, 注意各部分的输入信号、输出信号和控制信号的关系。 2、参数计算 为保证单元电路达到功能指标要求, 就需要用电子技术知识对参数进行计算, 例如放大电路中各电阻值、放大倍数、振荡器中电阻、电容、振荡频率等参数。只有很好地理解电路的工作原理, 正确利用计算公式, 计算的参数才能满足设计要求。 参数计算时, 同一个电路可能有几组数据, 注意选择一组能完成

电路设计功能、在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流、电压、频率和功耗等参数应能满足电路指标的要求。 (2)元器件的极限必须留有足够的裕量, 一般应大于额定值的 1.5倍。 (3)电阻和电容的参数应选计算值附近的标称值。 3、器件选择 ( 1) 阻容元件的选择 电阻和电容种类很多, 正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同, 有些电路对电容的漏电要求很严, 还有些电路对电阻、电容的性能和容量要求很高, 例如滤波电路中常见大容量( 100~3000uF) 铝电解电容, 为滤掉高频一般还需并联小容量( 0.01~0.1uF) 瓷片电容。设计时要根据电路的要求选择性能和参数合适的阻容元件, 并要注意功耗、容量、频率和耐压范围是否满足要求。 ( 2) 分立元件的选择 分立元件包括二极管、晶体三极管、场效应管、光电二极管、晶闸管等。根据其用途分别进行选择。选择的器件类型不同, 注意事项也不同。 ( 3) 集成电路的选择 由于集成电路能够实现很多单元电路甚至整机电路的功能, 因此选用集成电路设计单元电路和总体电路既方便又灵活, 它不但使系统体积缩小, 而且性能可靠, 便于调试及运用, 在设计电路时颇受欢迎。选用的集成电路不但要在功能和特性上实现设计方案, 而且要满足功耗、电压、速度、价格等方面要求。 4、注意单元电路之间的级联设计, 单元电路之间电气性能的 相互匹配问题, 信号的耦合方式

电子技术课程设计指导书

贵州理工学院 电子技术课程设计指导书(电气工程及其自动化专业) 主撰人:明德刚 主审人: 电气工程学院 2015年8月

前言 电子技术课程设计是在“电路原理”和“模拟电子技术”等课程后专门开设的集中实践课程。着重介绍电子线路的分析和设计方法,相关模拟、数字集成电路的原理和应用。 本课程的任务是:培养学生掌握电子线路的基础知识结构,基本理论体系及基本实验技能。使学生掌握基本概念,基本电路的工作原理及分析方法,掌握各种单元电路的特性及使用方法、性能扩展方法,通过各种实验实践教学的配合,培养学生动手能力及分析解决实际问题的能力,为今后续课程的学习打好基础。 电子技术是一门实践性很强的课程,在掌握好理论知识的同时,也应加强实践教学环节的学习。培养学生的实践动手能力。电气自动化类专业的一大特色是采用弱电电路来控制强电电路,因此在实践教学环节中首先应当培养学生的安全意识;其次,模块化是目前电子、电气技术发展的趋势,应当培养学生以全局的观念来设计电路,以模块的思想来规划子电路;再次,当代电路对工艺和抗电磁干扰的要求越来越高,应当培养学生具有良好的线路布局和焊接技能。用理论知识来指导实践,用实践来提高理论水平是自动化专业开设相关实践课程的主要目的。 电学基础类课程的实践教学分为实验和课程设计两大环节。通过实验环节,要求学生巩固电子技术的基本知识,培养实践动手能力和解决实际问题的能力;通过课程设计环节,要求学生初步具备电路的设计能力和分析能力,在焊接和调试电路的过程中了解电路的工艺和布局。课程设计时间为两周,在课程所在学期进行。分别进行模拟电路和数字电路的简单设计 本课程设计指导书以电子技术实验指导书为基础,首先通过每一个简单的实验来提高学习兴趣,了解电子线路的基本测试和使用方法,一方面提高理论水平,另一方面培养实践动手能力。进而通过查阅资料和不断的练习来提高分析和设计电路的基本能力。 需要特别说明的是,现代电子技术飞速发展,电路功能越来越强大,结构越来越复杂。单靠实验教学中的实验箱是解决不了问题的,如果设计、调试每一个电路

课程设计任务书及指导书格式

课程设计任务书及指导书格式 课程设计任务指导书文本格式一般为: 1.设计题目 2.设计目的 3.任务(要求:对于一班一题或半班一题的,需明确列出每位学生所对应的设计参数,学生以学号的后两位代替;对于一组一题的,应明确每题的学生人数和学生姓名) 4.时间安排 5.设计内容 6.设计工作要求 7.成绩评定标准 8.主要参考资料 Ⅰ、课程设计任务书 一、题目一:刚性基础 某厂房承重体系为三层钢筋混凝土框架结构,边柱荷载标准值F K边=400kN,中柱荷载标准值F K中=600kN,设计只考虑竖向荷载,要求学生完成刚性独立基础的设计(素混凝土基础),并计算沉降。工程设计正负零标高为20.0米,现形场平整平标高为20.0米。 二、设计条件 1 地质条件:场地土层如下:①素填土:松散,厚0.8m;②粘土:可塑,厚4.0 m; ③粉质粘土:硬塑,厚10.0 m;其下为不可压缩基岩; 2、水文地质条件:分布上层滞水,水位随季节性变化,现水位为地下埋深0.5m ; 3、根据岩土工程勘察报告,相关土层的设计参数如下表: 表1:土层设计参数表

表2:土层压缩试验成果表 注:②层土的基础承载力修正系数为η b =0.3,ηd=1.6; 三、设计内容 1 学生承担的具体设计题号见表一,要求每班分4个组。 表三、课程设计题号表 2 设计计算书一份(内容包括) (1)基础平面尺寸、埋深、验算; (2)基础结构施工图; (3)沉降计算; 四、题目二:桩基础 某高层民房,采用钢筋混凝土框架结构,建筑高度46米,边柱(柱断面尺寸600×600mm2 ) 荷载标准值F K边=18000kN,中柱(柱断面尺寸800×800mm2)荷载标准值F K中=30000kN,柱弯矩M K=200KN·m,水平荷载H K=100KN,桩顶允许水平位移10mm,桩顶约束按固结考虑;要求学生完成桩基础的设计。工程设计正负零标高为20.0米,现形场平整平标高为20.0米。 地质条件:场地土层如下:①素填土:松散,厚1.8m;②粘土:可塑,厚5.0 m;③粉质粘土:硬塑,厚10.0 m;其下为不可压缩基岩; 水文地质条件:分布上层滞水,水位随季节性变化,现水位为地下埋深0.5m ; 桩的设计参数如下表。 表5:土层设计参数表

【程序设计实践实验指导书】实验2:指针进阶

河南工业大学实验报告 课程名称:程序设计实践开课实验室: 一、实验目的: 1. 理解指针数组的概念,掌握指针数组的基本应用和编程方法。 2. 掌握单向链表的概念和建立方法。 3. 掌握单向链表的基本操作。 二、实验环境: 1. 运行Window XP/Windows 7/Windows 8等的操作系统的PC机; 2. VC++6.0,CodeBlocks,或Dev C++等编译环境。 三、实验内容: 1.编写程序,输入一个月份,输出对应的英文名称,要求用指针数组表示12个月的英文名称。 输入输出示例: 5 May 【源程序】 #include #include int main() { int num; scanf("%d",&num); getchar(); char *month[12]={"January","February","March","April","May","June","July","August","September","

October","Novermber","December"}; printf("%s\n",month[num-1]); return 0; } 【运行结果】 8 August Process returned 0 (0x0) execution time : 2.466 s Press any key to continue. 2. 定义一个指针数组将下表的星期信息组织起来,输入一个字符串,在表中查找,若 输入输出示例: Tuesday 3 【源程序】 #include #include #include int main() { char *month[12]={"January","February","March","April","May","June","July","August","September"," October","Novermber","December"}; char mnum[10]; scanf("%s",&mnum); getchar();

模拟电子技术课程设计指导书

湖北文理学院物理与电子工程学院《模拟电子技术基础》 课 程 设 计 指 导 书

前S 电子技术课程设计的主要任务是通过解决一、两个实际问题,巩固和加深在模拟电子技术基础(或低频电子技术)课程中所学的理论知识和实践技能,基本掌握常用电子电路一般设计方法,提高电子电路的设计和实践能力,为以后从事生产和科研工作打下一定的基础。 电子技术课程设计的主要内容包括理论设计、仿真、安装与调试方法及写出设计总结报告等。其中理论设计又包括选择总体方案设计、单元电路设计、选择元器件及计算参数等步骤,是课程设计的关键环节。安装与调试是把理论付诸实践的过程,通过安装与调试,进一步完善电路,使之达到课程所要求的性能指标,使理论设计转变为实际产品。课程设计的最后要求写出设计报告,把理论设计的内容、仿真、组装调试的过程及性能指标的测试结果进行全面的总结,把实践内容上升到理论的高度。

第一章课程设计的一般设计方法 (一)、总体方案的选择 1、选择总体方案的一般过程。 设计电路的第一步就是选择总体方案。所谓总体案根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目的要求和技术指标。 由于符合要求的总体方案往往不止一个,应针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后分析每个方案的可能性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示务种方案的基本原理。框图一般不必画得太详细,只要说明基本原理即可。 2、选择方案应注意的几个问题 (1)应当针对关系到电路全局的问题,多提出不同的方案,深入分析比较。从而找出最优方案。 (2)既要考虑方案的可行性,又要考虑性能、可靠性、成本、功耗等实际问题。 (二)、单元电路的设计 在确定了总体方案、画出框图之后,便可进行单元电路设计。一般方法和步骤: 1、根据设计要求和己选择的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标。应注意各单元电路之间的相互配合,但要尽量少用或不用电平转换之类的接口电

框架结构-课程设计任务书和指导书下说课材料

《建筑施工技术与组织》课程设计任务书 适用专业:12级建筑工程技术 一、课程设计目的 通过本次课程设计,使学生掌握单位工程施工组织设计的编制方法和编制步骤,能正确运用所学的基本理论知识,独立完成单位工程施工组织设计。 二、课程设计题目:单位工程施工组织设计(框架结构) 三、设计依据: 施工技术与组织课程中涉及的主要施工技术和组织原理如下: 1、《混凝土结构施工及验收规范》GB50204-2002(2011年版) 2、《混凝土质量控制标准》GB50164-2011 3、《混凝土强度检验评定标准》GB/T50107-2010 4、《砌体结构工程施工质量验收规范》GB50203-2011 5、《屋面工程技术规范》GB50345-2012 6、《建筑工程施工质量验收统一标准》GB50300-2013 7、《建筑施工手册》(第5版) 2012年 8、初步确定的基础持力层置于第二层粘土层,其承载力标准值为380Kpa。 9、现行国家有关施工验收规范。 四、设计条件: 1、工程概况 建筑概况:某四层学生公寓,底层为商业用房,上部为学生公寓,建筑面积3277.96m2,基础为钢筋混凝土独立基础,主体工程为全现浇框架结构,胶合板门,铝合金窗,外墙贴面砖,内墙为中级抹灰,普通涂料刷白,底层顶棚吊顶,楼地面贴地板砖,屋面用200mm厚的加气混凝土块做保温层,上做SBS改性沥青防水层,其劳动量见附表: 2、施工条件: 本工程位于该市东郊山坡地段,两面均有公路,交通便利,西面及北面为已建工程:厂内旧房、坟墓已由建设单位拆除,平整场地已在准备工作阶段完成,场地平整均按平均施工高度为-0.5米。 (1)开竣工时间:由当年9月1日开工至次年2月1日竣工,施工时间145天左右控制。 (2)气象条件:施工期间最低气温4°C,最高气温30°C,施工开始气温较高,以后逐月降低,春节以后有回升,施工期间很少有雨,主导风向为东偏南。 (3)土壤及地下水:土为二类土,地下水位-3.0米 (4)抗震要求:7度抗震烈度设防 (5)技术经济条件:各类钢窗、饰面材料等均有相关专业厂家生产,分批成套

电子技术课程设计

电子技术 课程设计 成绩评定表 设计课题:串联型连续可调直流稳压正电源电路学院名称: 专业班级: 学生姓名: 学号: 指导教师: 设计地点:31-225 设计时间:2014-7-7~2014-7-14

电子技术 课程设计 课程设计名称:串联型连续可调直流稳压正电源电路专业班级: 学生姓名: 学号: 指导教师: 课程设计地点:31-225 课程设计时间:2014-7-7~2014-7-14

电子技术课程设计任务书

目录 前言 (5) 1串联型连续可调直流稳压正电源 (5)

1.1 设计方案 (5) 1.2 设计所需要元件 (7) 2 设计原理 (8) 2.1 电源变压部分 (9) 2.2 桥式整流电路部分 (10) 2.3 电容滤波电路部分 (11) 2.4 直流稳压电路部分 (12) 2.5 原理及计算 (14) 3 电路仿真 (15) 4 电路连接测试 (16) 4.1使用仪器 (16) 4.2.测试结果 (16) 5 设计体会 (17) 参考文献 (19) 串联型连续可调直流稳压正电源电路 引言 随着社会的发展,科学技术的不断进步,对电子产品的性能要求也更高。我们做为21世纪的一名学电子的大学生,不仅要将理论知识学

会,更应该将其应用与我们的日常生活中去,使理论与实践很好的结合起来。电子课程设计是电子技术学习中的一个非常重要的实践环节,能够真正体现我们是否完全吸收了所学的知识。 目前,各种直流电源产品充斥着市场,电源技术已经比较成熟。然而,基于成本的考虑,对于电源性能要求不是很高的场合,可采用带有过流保护的集成稳压电路,同样能满足产品的要求。 本次设计的题目为设计一串联型可调直流稳压正电源:先是经过家用交流电源流过变压器得到一个大约十五伏的电压U1,然后U1经过一个桥堆进行整流在桥堆的输出端加两个电容C1、C2进行滤波,滤波后再通过LM7812(具体参数参照手册)输出一个固定的12V电压,这样就可以在一路输出固定的电压。在LM7812的输出端加一个电阻R3,调整端加一个固定电阻R1和一电位器R2,这样输出的电压就可以在5~12V范围内可调。 经过自己对试验原理的全面贯彻,以及相关技术的掌握,和反复的调试,经过自己的不断的努力,老师的耐心的指导,终于把这个串联型输出直流稳压输出正电源电路设计出来了。 1串联型连续可调直流稳压正电源 1.1 设计方案 本电路由四部分组成:变压电路、整流电路、滤波电路、稳压电路。 (1)变压电路:本电路使用的降压电路是单相交流变压器,选用电压和功率依照后级电路的设计需求而定。 (2)整流电路:整流电路的主要作用是把经过变压器降压后的交流电通过整流变成单个方向的直流电。但是这种直流电的幅值变化很大。它主要是通过二极管的截止和导通来实现的。常见的整流电路主要有半波整流电路、桥式整流电路等。我们选取桥式整流电路实现设计中的整流功能。 (3)半波整流:

模拟电子技术课程设计指导书

《模拟电子技术》课程设计指导书 《模拟电子技术》课程设计的主要任务是通过解决一个实际问题,巩固和加深在《模拟电子技术基础》课程中所学的理论知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为以后从事生产和科研工作打下一定的基础。 课程设计的主要内容包括理论设计、安装与调试及写出设计总结报告等。其中理论设计又包括选择总体方案、设计单元电路、选择元器件及计算参数等步骤,是课程设计的关键环节。安装与调试是把理论付诸实践的过程,通过安装与调试,进一步完善电路,使之达到课题所要求的性能指标,使理论设计转变为实际产品。课程设计的最后要求写出设计总结报告,把理论设计的内容、组装调试的过程及性能指标的测试结果进行全面的总结,把实践内容上升到理论的高度。 衡量课程设计完成好坏的标准是:理论设计正确无误;产品工作稳定可靠,能达到所要求的性能指标;电路设计性能价格比高,便于生产、测试和维修;设计总结报告翔实,数据完整可靠等。 一、设计任务 设计一个供电电源为交流220V、50Hz的占空比可调的矩形波、三角波、锯齿波发生器。主要电路指标要求波形频率为1KHz~10KHz,波形幅值小于12V,其它指标要求尽可能多的信号多样性。本设计是设计一个完整的信号发生器,单元电路必须包括整流电路、滤波电路、稳压电路、信号发生电路。 设计过程中的硬件电路安装调试借助启动计算机厂有限公司的“模拟电路试验箱”进行,有关可利用单元电路组件、元器件见附录一。 设计完成后要提交课程设计报告。 二、设计的一般步骤 1.选定总体方案,画出方框图; 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查

轨道工程课程设计任务书、指导书及设计要求

轨道工程课程设计任务书 一、出发资料 1.机车车辆条件:韶山Ⅲ(SS3)型电力机车;机车轴列式30-30,轴距布置为230+200+780+200+230 (cm),轮重。 2.线路条件: (1)线路设计速度80km/h,最小曲线半径500m(实设超高为100mm),规划采用有砟轨道结构。 (2)线路铺设成无缝线路,铺设地区为福州,铺设线路长度为10km。 (3)道床顶面的容许应力为,路基顶面的容许应力为。 二、设计任务 (1)进行有砟轨道结构设计,包括钢轨和扣件的选型,轨枕的类型及布置根数,道床的等级及尺寸,并检算强度是否满足使用要求。 (2)进行无缝线路设计,包括设计锁定轨温确定、缓冲区设计、预留轨缝确定、轨条布置。 三、提交的成果 (一)、设计计算说明书 (1)轨道结构选型。 (2)轨道结构强度检算。 (3)无缝线路设计计算。 (二)、设计图图纸 (1)轨道结构组装图及选型说明。(1张A3)

(2)轨道结构受力图(3张A4:钢轨弯矩和挠度1张,轨枕三个支承状态的弯矩分布,道床顶面、路基顶面、路基第二区域、路基第三区域应力)。 (3)无缝线路设计图(1张A4或A3,基本温度力图、轨条布置图及相关说明)。 设计指导书

一、课程设计的基本步骤: 课程设计的步骤如图1所示: 图1 课程设计步骤 二、设计方法 (一)、轨道结构选型设计 根据机车车辆和线路条件,确定钢轨、轨枕、扣件的类型及刚度、道床的等级及主要尺寸(厚度、顶宽和边坡坡度)。钢轨、轨枕及扣件的可选用类型从教材中选择,道床的等级及主要尺寸也参考教材的内容确定。 以下两点说明: 1、道床厚度的选择 道床厚度设计根据《铁路轨道设计规范》(TB10082-2005)和《地铁设计规范》(GB50157-2003)进行,为方便可根据运营条件从教材表1-1中选择。我们的轨道类型可参考中型轨道结构。 2、钢轨支座刚度D 钢轨支座刚度D的意义是使钢轨支点顶面产生单位下沉时所必须施加于支点顶面上的力,单位一般采用kN/mm表示。 D值的计算:1/D=1/D1+1/D2 教材(7-3) 式中D1为扣件刚度,其值由设计确定;D2为道床支承刚度,计算

C语言程序设计实验指导书

C 语言程序设计 实 验 指 导 书 电子工程学院 2012-2

实验一 C程序的运行环境和编辑、调试、运行简单C程序 一、实验目的 1.了解 Turbo C 的基本操作方法,学会独立使用该系统。 2.掌握在该系统上如何编辑、编译、运行一个C程序。 二、实验内容及步骤 1.进入C的工作环境 1)在Windouws环境下:“开始”→“程序”→“MS-DOS” 屏幕上进入 MS-DOS窗口 2)在Dos环境下:键入命令c:\> cd c:\tc↙ c:\tc> tc↙ 屏幕上出现Turbo C的工作环境 2.熟悉Turbo C的工作环境 了解Edit窗口与Message窗口 了解主菜单的8个菜单项 File Edit Compile Project Option Debug break/watch 3.输入并运行一个简单的程序 File→New 输入源程序:

main() { printf("This is a C program.\n"); printf("OK\n"); } 按F9进行编译和连接,观察屏幕上显示的编译信息。如果出现出错信息,则应找出原因并改正。 按Run→Run(或按Ctrl+F9) 编译、连接、运行一起完成。 按Run→User screen(或按Alt+F5) 察看运行结果。 按任一键从用户屏切换回TC窗口。 4.输入并编辑第二个C程序 File→New 输入源程序: main ( ) { int a,b,sum; a=123; b=456; sum=a+b; printf("sum is %d\n", sum); }

编辑、运行、调试该程序。 5.编辑、运行、调试自己编写的程序(至少一个程序) 如:输入上底、下底和高,计算梯形面积。 观察屏幕上显示的编译信息。如果出现出错信息,则应找出原因并改正。 用File→Save (或F2)保存程序(程序名为a1.c) 三、实验报告要求 写明: 1.实验目的 2.实验内容与步骤 3.编写的程序(题目,经调试、运行后正确的程序) 4.编译过程中出现的错误信息。 5.总结讨论本次实验的结果和收获。

电子技术课程设计

摘要 本次课程设计彩灯控制器是对模拟电子技术、数字电子技术的实践性的应用。该彩灯设计主要由几个器件构成,分别是移位寄存器、计数脉冲、分频器、数据选择器等器件。通过着几个主要器件来实现对彩灯的设计和控制。彩灯的设计主要有三部分组成。即时钟脉冲产生电路模块、彩灯开关控制模块以及花样输出电路模块。其中时钟脉冲由555定时器构成的多谐振荡器产生。彩灯开关电路设计模块应用数据选择器74LS163。花样输出由移位寄存器74LS194和发光二极管组成。为了验证设计的准确性,我们在Proteus环境下进行仿真和调试。通过验证进一步确定其设计的可行性。 关键词:彩灯;时钟脉冲产生电路模块;彩灯开关控制;花样输出电路

目录 摘要.............................................................................................................I 1 前言 (1) 1.1 序言 (1) 1.2目前彩灯的应用情 (1) 1.3主要工作概述 (1) 2 总体方案设计 (3) 2.1方案比较 (3) 2.2方案论证 (4) 2.3方案选择 (4) 3 单元电路设计 (5) 3.1时钟信号发生器 (5) 3.2 序列信号发生 (7) 3.3 移位输出显示电路 (11) 4 调试与试验 (14) 4.1 Proteus软件介绍 (14) 5 proteus仿真图 (15) 6致谢和心得体会 (16) 参考文献 (17)

1前言 1.1 序言 集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用规模集成电路,不仅可以减少电路组件的数目,使电路简洁,而且能提高电路的可靠性,降低成本。因此,用集成电路来实现更多更复杂的器件功能则成为必然。随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观,小型的彩灯多采用霓虹灯电路。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,也可以做成各种各样和多种色彩的灯管或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,常采用长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过复杂的编程。流水灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化。本文所要设计的彩灯是用八个发光二极管代替的,能通过外部开关的操作,来实现彩灯亮点的左移、右移、全亮、全灭的效果。因此其会在越来越多的场合中使用,这使本设计具有很大的现实意义。这种控制电路可靠性,灵活性高,使用范围广,特别适合中小城市的交通灯、霓虹灯等的应用。而且,它对其他类似系统的开发具有一定的借鉴意义。 1.2目前彩灯的应用情况 LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。彩灯广泛应用于流水灯、跑马灯、鸳鸯戏水灯、流水灯、控制功能,并给出了具体的硬件电路和相应的程序。此课题设计具有很大现实意义,LED彩灯广泛应用于商业街广告灯,也可作为歌厅、酒吧照明等。 1.3主要工作概述 本文所要设计的八路彩灯的功能要求是通过手动开关操作,实现彩灯的两亮两灭

《数字电子技术课程设计》教学大纲

教学大纲 课程名称数字电子技术课程设计课程负责人 开课系部机电工程系 教研室电气自动化 二0一四年四月一日

《数字电子技术课程设计》教学大纲 一、课程基本信息 课程编号: 课程名称:数字电子技术课程设计 英文名称:A Course Design on Digital Electronic Technology 适用专业:电气工程及其自动化类专业 先修课程:电路原理、模拟电子技术、数字电子技术 课程性质:专业基础课 设计周数:1周 学分:1分 二、课程设计的性质、目的和任务 数字电子技术课程设计是电路分析、模拟电子技术、数字电子技术等课程之后的一门理论与实践相结合的综合设计性课程,目的在于提高和增强学生对电子技术知识的综合分析与应用能力。这对于提高学生的电子工程素质和科学实验能力非常重要,是电子技术人才培养成长的必由之路。数字电子技术课程设计应达到以下目的: (1)加深对所学理论知识的理解,并能将其熟练应用,做到理论与实际相结合; (2)学会查寻资料、方案比较,以及设计计算及制作调试等环节,进一步提高分析解决实际问题的能力; (3)要求学生根据技术指标进行理论设计,并制作调试完成,培养学生分析问题、解决问题的实践能力。 对本次课程设计,原则上指导老师只给出大致的设计要求,在设计思路上不框定和约束同学们的思维,所以同学们可以发挥自己的创造性,并力求设计方案凝练可行、思路独特、效果良好。 三、课程设计的内容 以《电路分析》、《模拟电子技术》和《数字电子技术》等课程中所涉及到的电阻、电容、电感元件、无源滤波电路、变压器、二极管、三极管、场效应管及

基本放大电路、功率放大电路、集成运算放大电路、信号发生器、直流电源、门电路及触发器、小规模集成电路SSI、中规模集成电路MSI为基础,两人一组分工协作、独立设计具有可靠性高及功能明确的实际应用价值的电子电路,最后编写课程设计总结报告。设计内容可参考设计题目,也可根据自身情况自己拟定。 参考题目如下: 1.数字电子钟逻辑电路设计:设计一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间;(如准点报时、定时闹钟等)2.智力竞赛抢答器逻辑电路设计:设计一个可供四组参赛的数字式竞赛抢答器,每组设置一个抢答按钮,要求具有第一抢答信号的鉴别和锁存功能,具有计分及计时功能,设置犯规报警电路。(电路具有鉴别和锁存功能,用数码管显示第一抢答组别且该组别对应指示灯亮,电路的自锁功能,使其余抢答开关不起作用;有主持人开关、有复位功能;增加部分扩展功能(如抢答计时及加分、减分电路等) 3.交通信号灯控制器逻辑电路设计:满足绿灯30秒,黄灯5秒,红灯35秒的时序。采用两位数码显示器显示南北方向时间。 4.汽车尾灯控制电路设计:转向侧的3灯应按全灭、1灯亮、2灯亮、3灯亮得顺序动作,周期性明亮与暗,一周约需一秒;当紧急闪烁起作用时,六个尾灯大约以1Hz的频率一致地闪烁着亮与暗;制动时,若转弯开关未合上(或错误地将两个开关均合上的情况)所有六个尾灯均连续燃亮。 5.数字温度计逻辑电路设计:设计一个可以测量温度范围0-800C的数字式温度计,精度± 10C。 6.多路防盗报警电路设计:采用多路输入、同一报警输出方式实现,输入端带延时触发功能,具有显示报警地点功能。 7.电梯控制电路设计:设计一个简易4层电梯控制电路,能记忆电梯内、外的所有请求信号,并按照电梯运行规则按顺序响应,每个信号保留至执行后消失。 8.倒计时计时器的设计:最长记时时间为999秒,有三位数码管显示记数状态。 9.洗衣机控制电路设计: 设计一个洗衣机控制器,具有如下功能:

相关主题
相关文档 最新文档