电子技术课程设计指导书程序
- 格式:doc
- 大小:74.00 KB
- 文档页数:11
电子技术实验和课程设计一、课程目标知识目标:1. 学生能理解并掌握电子技术基础知识,如电路分析、电子元件功能及其在电路中的应用。
2. 学生能掌握常见电子测量仪器的使用方法,并运用其进行数据采集与分析。
3. 学生能运用所学的电子技术知识,设计简单的电子电路,并进行仿真与调试。
技能目标:1. 学生能运用电子元件搭建实际电路,培养动手操作能力和实验技能。
2. 学生能运用电子测量仪器进行数据测量,提高实验数据的处理与分析能力。
3. 学生能通过课程设计,培养创新意识和团队合作精神,提高解决实际问题的能力。
情感态度价值观目标:1. 学生对电子技术产生浓厚的兴趣,激发学习热情,培养积极探索的科学精神。
2. 学生在实验过程中,学会尊重事实,遵循科学规律,养成严谨、务实的学术态度。
3. 学生在团队合作中,学会沟通与协作,培养团结互助的精神,提高个人综合素质。
课程性质:本课程为实践性课程,注重培养学生的动手能力、创新意识和解决实际问题的能力。
学生特点:学生已具备一定的电子技术基础知识,具有较强的求知欲和动手能力,但缺乏实际操作经验。
教学要求:结合学生特点,以实践为主,注重理论联系实际,充分调动学生的主观能动性,提高学生的实践能力和创新能力。
通过课程目标的分解与实现,使学生在知识、技能和情感态度价值观方面取得全面提高。
二、教学内容本课程教学内容主要包括以下几部分:1. 电子技术基础知识回顾:电路分析方法、电子元件特性及其在电路中的应用。
教材章节:第一章 电路分析基础,第二章 电子元件。
2. 电子测量仪器使用:介绍常见电子测量仪器的功能、操作方法及注意事项。
教材章节:第三章 电子测量与仪器。
3. 实验技能训练:开展基础实验,如放大器电路、滤波器电路等,培养学生的动手操作能力。
教材章节:第四章 实验技能训练。
4. 课程设计:指导学生进行综合性的电子电路设计,包括电路设计、仿真、搭建和调试。
教材章节:第五章 课程设计与实践。
电路电子技术课程设计一、教学目标本课程的教学目标是使学生掌握电路电子技术的基本概念、基本理论和基本技能,能够运用电路电子技术解决实际问题。
具体目标如下:1.知识目标:学生能够掌握电路的基本元件、基本电路定律、基本电路分析方法、电子元器件的工作原理和应用、模拟电路和数字电路的设计和分析方法等。
2.技能目标:学生能够运用电路电子技术进行实际电路的设计、搭建和调试,能够使用电子仪器进行电路的测试和分析。
3.情感态度价值观目标:学生能够认识电路电子技术在现代社会中的重要地位和作用,培养对电路电子技术的兴趣和热情,树立正确的科学态度和创新精神。
二、教学内容根据课程目标,本课程的教学内容主要包括电路的基本概念和基本定律、基本电路分析方法、电子元器件的工作原理和应用、模拟电路和数字电路的设计和分析方法等。
具体安排如下:1.第一章:电路的基本概念和基本定律,包括电流、电压、电阻的概念,欧姆定律、基尔霍夫定律等。
2.第二章:基本电路分析方法,包括节点分析、支路分析、叠加原理、戴维南-诺顿定理等。
3.第三章:电子元器件的工作原理和应用,包括电阻、电容、电感、二极管、晶体管等。
4.第四章:模拟电路的设计和分析方法,包括放大电路、滤波电路、稳压电路等。
5.第五章:数字电路的设计和分析方法,包括逻辑门、逻辑电路、触发器、计数器等。
三、教学方法为了达到课程目标,本课程将采用多种教学方法,包括讲授法、讨论法、案例分析法、实验法等。
1.讲授法:通过教师的讲解,使学生掌握电路电子技术的基本概念、基本理论和基本技能。
2.讨论法:通过小组讨论,激发学生的思考,培养学生的创新能力和团队合作精神。
3.案例分析法:通过分析实际案例,使学生了解电路电子技术在实际工程中的应用。
4.实验法:通过动手实验,使学生掌握电路电子技术的实际操作技能,培养学生的实践能力。
四、教学资源为了支持教学内容和教学方法的实施,本课程将选择和准备适当的教学资源,包括教材、参考书、多媒体资料、实验设备等。
电子技术课程设计的基本方法和步骤随着信息时代的到来,电子技术已经成为了现代社会不可或缺的一部分。
而要成为一个优秀的电子技术工程师,除了要掌握专业的知识外,还需要具备良好的课程设计能力。
那么,电子技术课程设计的基本方法和步骤是什么呢?本文将为大家介绍。
一、电子技术课程设计的基本方法1、确定主题电子技术课程设计的第一步就是确定主题。
主题应该结合自身兴趣、职业规划以及课程要求等方面来选择。
主题确定后,应该尽早开始搜集资料,建立课程设计框架,以免时间紧迫时无从下手。
2、了解学生电子技术课程设计不仅要考虑自己的兴趣爱好,还要考虑到听众的需求。
因此,在确定主题后,需要了解目标学生的兴趣、技能和背景等信息,以便更好地与听众互动,确保课程的有效性。
3、制定目标电子技术课程设计的目标应该既关注学生的学科能力,也关注学生的兴趣和思维方式的培养。
目标应该明确且可度量,从短期和长期两个角度考虑,以便更好地帮助学生在未来的应用中获得成就感。
4、编写课程大纲课程大纲应该是以目标为导向的,并清晰地呈现学习内容、学习方式和评估方式。
大纲还应该满足学生的需求,例如讲解简洁、标注高亮等,有助于学生进行深入的思考和交流。
5、设计课程活动课程活动应该具有可参与性、有挑战性、趣味性、创新性等特点。
这些活动的设计应该考虑到听众的兴趣、技能、背景和时间限制等因素。
通过积极开展活动,学生能够更加深刻地了解知识点,从而更好地获得实践经验。
二、电子技术课程设计的步骤1、收集信息在开始设计课程之前,需要收集大量的信息,例如目标学校、听众、课程内容、资料和技能等。
这些信息有助于全面了解课程的环境和挑战,为课程的设计和实施提供支持。
2、制定目标课程目标应该明确且可度量,应该根据学生的水平和背景制定,并应该与课程内容紧密关联。
目标的制定应该针对学生个体需要,对他们的自信和成就感产生积极的影响。
3、设计课程大纲课程大纲应该根据目标,建立课程框架,定义课程内容,确定课程评估方法。
电子技术课程设计一、课程目标知识目标:1. 让学生理解并掌握电子技术基础理论知识,如电路组成、工作原理等;2. 使学生掌握常见电子元器件的识别、选用和使用方法;3. 培养学生运用电子技术解决实际问题的能力。
技能目标:1. 培养学生具备电子电路图的设计、绘制和解读能力;2. 提高学生动手实践能力,能够搭建和调试简单的电子电路;3. 培养学生运用电子测量仪器和设备进行数据采集和处理的能力。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识和探索精神;2. 培养学生严谨、细致、负责的学习态度,养成良好的学习习惯;3. 培养学生团队合作意识,学会与他人分享、交流、协作。
课程性质:本课程为实践性较强的学科,要求学生在掌握理论知识的基础上,注重实践操作和创新能力培养。
学生特点:本课程面向初中年级学生,学生对电子技术有一定的好奇心,具备基本的物理知识和动手能力。
教学要求:结合课程性质和学生特点,教师应注重理论与实践相结合,以学生为主体,引导学生主动参与,培养其解决问题的能力。
在教学过程中,将课程目标分解为具体的学习成果,便于教学设计和评估。
二、教学内容1. 电路基础知识:包括电路的概念、组成、工作原理等,对应教材第一章内容。
- 电路元件:电阻、电容、电感等;- 电路基本连接方式:串联、并联;- 电路分析方法:欧姆定律、基尔霍夫定律。
2. 常见电子元器件:二极管、三极管、晶体管等,对应教材第二章内容。
- 元器件的识别、选用和使用方法;- 特性曲线及其应用。
3. 电子电路设计与制作:对应教材第三章内容。
- 电路图的绘制与解读;- 简单放大电路、滤波电路、振荡电路的设计与搭建;- 动手实践:制作小型电子设备。
4. 电子测量与数据处理:对应教材第四章内容。
- 电子测量仪器的使用;- 数据采集与处理方法;- 实际操作:对电子电路进行测量与调试。
5. 创新实践与团队协作:结合前述内容,开展创新设计活动。
- 设计具有实际应用价值的电子电路;- 团队合作,分工明确,共同完成任务;- 展示与分享:向同学和老师展示成果,互相交流学习。
附件3:山东建筑大学课程设计指导书课程名称:电子技术课程设计设计题目:2WOCL扩音机设计与安装调试使用班级:电气115班指导教师:李艳红一、课程设计步骤1.综合分析各种扩音机电路,绘制系统电路原理图。
2.根据系统电路原理图及输出功率要求,计算确定直流电源电压。
3.根据输出功率要求及已确定的直流电源电压,计算功放管的耗散功率,再根据频率参数对功放管进行选型。
4.根据以上数据确定变压器参数(容量、原付边电压)。
5.计算输入电路、推动电路参数,选择元器件。
6.核准系统电路参数是否与设计要求相符。
7.撰写课程设计说明书。
8.绘制正式的系统电路原理图。
二、调试说明:1.所谓静态指输入端对地短路的状态。
2.本电路要求静态电流≤25mA。
在不接负载喇叭的情况下,可通过测量低压保险管处电源交流电流(≤30mA)反映之。
如果大于25mA,一般是Q9/Q10的电流过大,检查电阻R9的阻值是否过大及D7的极性是否错误,用欧姆定律判断R15、R16上的电流应该小于3mA(本次调试,允许静态时U R15=0V)。
3.要求静态时两差分三极管集电极电流基本相等。
通过测量U R4、U R3的值,然后调节RW2,可使Q1的集电极电流I CQ1=U R3/R3=0.5U R4/R4,其余的电流0.5U R4/R4= I CQ2。
4.当R15、R16的联结点k对地电压应该≈0V时,才能接喇叭试听。
三、设计成果及要求1.课程设计说明书要求说明所有元件的选择依据。
2.系统电路原理图要标明所有元件的主要参数(电阻、电容、变压器)或型号(二极管、三极管)。
3.元件的主要参数应在计算值的基础上,经过实验调试确定。
4.元件安装要可靠、整齐,焊接要可靠四、设计成绩评定成绩考核采用评阅、口试、实际操作相结合的方式。
根据学生的平时表现(考勤情况、设计和操作能力等)和课程设计任务完成的质量(设计说明书和图纸等),按百分制综合评分。
平时表现占总成绩的30%,课程设计说明书和图纸占总成绩的40%,答辩成绩占总成绩的30%。
电子技术课程设计教学大纲(总周数:1,学分数:1)一、课程的性质、任务和目的电子技术课程设计是为车辆工程专业学生开设的一门实践性教学课程,本课程设计是在完成电子技术课程学习之后进行,是车辆工程专业必修的实践性教学环节。
本课程的任务与目的是,通过课程设计巩固电子技术知识,并通过亲自动手设计实践,掌握电路的设计方法,熟悉一般电路的设计和调试过程,提高电路的调试、分析故障及设计能力。
二、课程基本内容和要求基本内容:课程设计的基本程序;基本要求:1、设计准备:阅读设计指导书,明确课程设计的基本程序;准备好工具包;准备好电路板、元器件、相关参考资料;2、设计计算:计算放大器的静态参数、动态参数;全面分析放大器,并了解放大器中每一个元件的作用;计算单稳态触发器的暂稳时间,全面单稳态电路的原理及每一个元件的作用;3、焊接与调试:严格按工艺要求、工艺顺序焊接电路;进行电路分析,调试电路,排除故障,达到预期要求;4、试验报告:整理数据,写出设计计算说明书或实验测试报告。
5、答辩:综合阐述课程设计的内容、目的、过程。
三、时间分配表(天)四、有关说明(一)本大纲是根据车辆工程专业培养计划统一要求制订的。
(二)先修课程:高等数学;普通物理;汽车电工与电子技术。
(三)教学建议:本课程应与《汽车构造》课程教学同步进行。
(四)教材及参考书:《电子技术课程设计》教学指导书自编秦增煌《电工学》(下)高等教育出版社 1999.9 第5版秦曾煌《电工学学习指导》高等教育出版社 1999.9 第5版(五)考核方式:本课程结束后,学生必须按要求写出设计计算说明书或实验测试报告;实习指导教师根据完成情况,结合学生在实习中的表现,分优秀、良好、中等、合格、不合格五等做出成绩评定。
《数字电子技术》课程设计指导书黄冈职业技术学院机电工程系应用电子技术教研室丁群燕目录一、课程设计的基本任务二、课程设计的基本要求1、初步掌握数字电路分析和设计的基本方法2、培养一定自学能力和独立分析问题、解决问题的能力3、掌握组装电子产品的基本技能4、培养科学实践的作风三、课程设计的基本步骤和方法1、方案设计2、组装调试3、工艺设计4、总结鉴定四、课程设计实验文件的标准格式五、参考选题及参考方案选题一、定时抢答器1、设计要求2、课题涵盖的知识点3、单元电路的设计(1)定时抢答器的框图和参考电路图(2)编码器(3)译码显示器(4)锁存器(5)定时电路4、整机电路的安装调试(1)安装(2)调试选题二、汽车尾灯控制电路的设计1、设计要求2、课题涵盖的知识点3、单元电路的设计(1)汽车尾灯控制电路设计的框图和参考电路图(2)运行状态表(3)三进制计数器的设计(4)译码驱动电路的设计(5)开关控制电路的设计4、整机电路的安装调试(1)安装(2)调试一.课程设计的基本任务本课程设计的基本任务任务,着重培养学生对数字集成电路应用方面的综合实践技能,掌握综合运用理论知识解决实际问题的能力。
学生通过电路设计、安装、调试、整理资料、答辩等环节,形成独立思考问题的能力,以及培养他们课本知识以外的一些科技工作者必须具备的基本技能,并培养学生的创新能力和再学习的能力。
如查阅资料、懂得如何根据需要选择器件等,从而逐步熟悉开展科学实践的程序和方法。
二.课程设计的基本要求通过课程设计各环节的实践,应使学生达到如下要求:1.初步掌握数字逻辑电路分析和设计的基本方法(1)根据设计任务和指标,初选电路;(2)通过调查研究、设计计算,确定电路方案;(3)掌握正确的布线方法;(4)选择元器件,检测元器件,安装电路,并通过调试改进方案;(5).分析实验结果,写出设计总结报告。
2.培养一定自学能力和独立分析问题、解决问题能力包括:(1)学会自己分析、找出解决问题的方法;(2)对设计中遇到的问题,能独立思考,查阅资料,寻找答案;(3)掌握一些测试电路的基本方法,实验中出现一般故障,能通过“分析、观察、判断、试验、再判断”的基本方法独立解决;(4)能对实验结果进行分析和评价。
《电子技术课程设计》.一、教学目标本课程旨在通过学习,使学生掌握电子技术的基本原理和应用方法,提高学生的实际操作能力和创新能力。
具体目标如下:知识目标:学生能够理解并掌握电子元件的工作原理、电路图的阅读与分析、电子设备的维护与维修等基本知识。
技能目标:学生能够熟练使用电子测量仪器,进行电子电路的安装、调试与故障排除。
情感态度价值观目标:培养学生对电子技术的兴趣和热情,增强学生的团队合作意识和创新精神。
二、教学内容本课程的教学内容主要包括电子元件、电子电路、电子设备及其维护等方面的知识。
具体安排如下:1.电子元件:电阻、电容、电感、二极管、三极管等基本元件的工作原理和应用。
2.电子电路:电路图的阅读与分析,放大电路、振荡电路、滤波电路等基本电路的原理和应用。
3.电子设备:电视、音响、电脑等常见电子设备的工作原理和维护方法。
4.实践操作:电子电路的安装、调试与故障排除,掌握电子测量仪器的使用方法。
三、教学方法为了提高教学效果,本课程将采用多种教学方法相结合的方式进行。
具体方法如下:1.讲授法:教师通过讲解,使学生掌握电子技术的基本原理和知识。
2.讨论法:学生分组讨论,分享学习心得和经验,增强团队合作意识。
3.案例分析法:分析实际案例,使学生更好地理解和运用电子技术。
4.实验法:动手实践,培养学生的实际操作能力和创新能力。
四、教学资源为了支持教学内容和教学方法的实施,本课程将提供以下教学资源:1.教材:选用权威、实用的教材,为学生提供系统、全面的知识学习。
2.参考书:提供丰富的参考资料,帮助学生拓展知识面。
3.多媒体资料:制作精美的PPT、视频等资料,提高学生的学习兴趣。
4.实验设备:提供充足的实验设备,确保每个学生都能动手实践。
五、教学评估为了全面、客观地评价学生的学习成果,本课程将采用多种评估方式相结合的方法。
具体方式如下:1.平时表现:通过观察学生在课堂上的参与度、提问回答等情况,评估学生的学习态度和积极性。
电子技术课程设计指导一、教学目标本节课的教学目标是让学生掌握电子技术的基本概念、原理和应用,培养学生对电子技术的兴趣和好奇心,提高学生的科学素养和实际操作能力。
具体来说,知识目标包括了解电子技术的基本概念、原理和应用,能够说出电子技术的主要分支和发展趋势;技能目标包括能够使用电子仪器和设备进行实验操作,能够分析电子电路图和故障现象;情感态度价值观目标包括培养学生对电子技术的热爱和兴趣,提高学生的问题解决能力和创新意识。
二、教学内容本节课的教学内容主要包括电子技术的基本概念、原理和应用。
首先,介绍电子技术的定义、发展历程和主要分支,让学生对电子技术有一个整体的认识。
然后,讲解电子技术的基本原理,如电子元器件的工作原理、电子电路的组成和功能等。
最后,介绍电子技术在日常生活和工业中的应用,如手机、电视、计算机等。
三、教学方法为了实现教学目标,本节课采用多种教学方法相结合的方式。
首先,采用讲授法,教师讲解电子技术的基本概念、原理和应用,学生通过听讲和笔记来获取知识。
其次,采用讨论法,学生分组讨论电子技术的实际应用和问题解决方法,促进学生之间的交流和合作。
再次,采用案例分析法,教师提供一些电子技术的实际案例,学生分析其原理和应用,提高学生的分析和解决问题的能力。
最后,采用实验法,学生亲自动手进行电子实验,观察电子现象,培养学生的实践操作能力。
四、教学资源为了支持教学内容和教学方法的实施,本节课准备了一系列的教学资源。
教材方面,选择了一本权威的电子技术教材,涵盖了电子技术的基本概念、原理和应用。
参考书方面,提供了一些相关的电子技术书籍,供学生深入学习和参考。
多媒体资料方面,制作了电子技术的相关PPT和视频,通过动画和图像的形式展示电子技术的原理和应用,增强学生的学习兴趣和理解。
实验设备方面,准备了电子实验仪器和设备,让学生能够进行实际操作和观察电子现象,提高学生的实践能力。
五、教学评估本节课的教学评估主要包括平时表现、作业和考试三个部分。
电子技术课程设计指导书程序附表第二部分基本性实验实验1基本逻辑门电路的VHDL设计设计程序1. 2 输入与非门的VHDL 描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY nand2_lab1 ISPORT(a, b : IN STD_LOGIC;y: OUT STD_LOGIC);END nand2_lab1;ARCHITECTURE one OF nand2_lab1 ISBEGINy<= a nand b;END one;2. 2输入或门的VHDL 描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY or2_lab ISPORT(a, b : IN STD_LOGIC;y: OUT STD_LOGIC);END or2_lab;ARCHITECTURE one OF or2_lab ISBEGINy<= a or b;END one;3. 非门的VHDL 描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY hnot ISPORT(a : IN STD_LOGIC;y: OUT STD_LOGIC);END hnot;ARCHITECTURE one OF hnot ISBEGINy<= not a;END one;4. 异或门的VHDL 描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY xor2_lab ISPORT(a, b : IN STD_LOGIC;y: OUT STD_LOGIC);END xor2_lab;ARCHITECTURE one OF xor2_lab IS BEGINy<= a xor b;END one;实验2组合逻辑电路的VHDL设计设计程序1. 8-3编码器的VHDL 描述LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY encoder83 ISPORT( d : IN STD_LOGIC_VECTOR(7 DOWNTO 0);encode: OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END encoder83;ARCHITECTURE one OF encoder83 ISBEGINencode <= "111" when d(7) = '1' else"110" when d(6) = '1' else"101" when d(5) = '1' else"100" when d(4) = '1' else"011" when d(3) = '1' else"010" when d(2) = '1' else"001" when d(1) = '1' else"000" when d(0) = '1' ;END one;2. 3线-8线通用译码器的VHDL 描述LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;ENTITY decoder ISPORT(a,b,c : IN STD_LOGIC;G1,G2a,G2b : IN STD_LOGIC;Y : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)); END decoder;ARCHITECTURE decoder_arc OF decoder ISSIGNAL indata : STD_LOGIC_VECTOR (2 DOWNTO 0);BEGINindata <=a&b&c;PROCESS(indata, G1,G2a,G2b )BEGINIF (G1=1 and G2a=0 and G2b=0 )CASE indata ISWHEN “000” => Y <= “11111110”;WHEN “001” => Y <= “11111101”;WHEN “010” => Y <= “11111011”;WHEN “011” => Y <= “11110111”;WHEN “100” => Y <= “11101111”;WHEN “101” => Y <= “11011111”;WHEN “110” => Y <= “10111111”;WHEN “111” => Y <= “01111111”;WHEN OTHERS => Y <= NULL;END CASE;ELSE Y <= “11111111” ;END IF;END PROCESS;END decoder_arc;3. 7段数码显示译码器的VHDL 描述LIBRARY IEEE;USE ieee.std_logic_1164.all;ENTITY leddecoder ISPORT (a3,a2,a1,a0 :in std_logic;Ya,Yb,Yc,Yd,Ye,Yf,Yg:out std_logic);END leddecoder;ARCHITECTURE led_arc of leddecoder ISsignal A: std_logic_vector(3 downto 0);signal Y: std_logic_vector(6 downto 0);BEGINA<= a3 & a2 & a1 & a0 ;Ya <= Y(6);Yb <= Y(5);Yc <= Y(4);Yd <= Y(3);Ye <= Y(2);Yf <= Y(1);Yg <= Y(0);case A iswhen "0000" => Y <=""0000001";when "0001" => Y <="1001111";when "0010" => Y <="0010010";when "0011" =>Y<="0000110";when "0100" => Y <="1001100";when "0101" =>Y <="0100100";when "0110" => Y <="0100000";when "0111" => Y <="0001111";when "1000" => Y <="0000000";when "1001" => Y <="0000100";when "1010" => Y <="0001000";when "1011" =>Y<="1100000";when "1100" => Y <="0110001";when "1101" =>Y <="1000010";when "1110" => Y <="0110000";when "1111" => Y <="0111000";when others => Y <="1111111";end case;END led_arc;4. 4选1数据选择器的VHDL 描述LIBRARY ieee;USE ieee.std_logic_1164.all; ENTITY mux41 isPORT (d0,d1,d2,d3 : IN STD_LOGIC;s : IN STD_LOGIC_VECTOR(1 DOWNTO 0);z : OUT STD_LOGIC);END mux41;ARCHITECTURE one OF mux41 ISBEGINPROCESS (s,d0,d1,d2,d3)BEGINCASE s ISWHEN "00" => z<=d0;WHEN "01" => z<=d1;WHEN "10" => z<=d2;WHEN "11" => z<=d3;WHEN OTHERS =>z<= 'x';END CASE;END PROCESS; END one;实验3时序逻辑电路的VHDL设计设计程序1.触发器的VHDL描述LIBRARY ieee; // D触发器USE ieee.std_logic_1164.all;ENTITY dff_logic ISPORT( d,clk: IN STD_LOGIC;q : OUT STD_LOGIC);END dff_logic;ARCHITECTURE dff1 OF dff_logic ISBEGINPROCESS(clk)BEGINIF clk’EVENT AND clk=’1 ’ THENq<=d;END IF;END PROCESS;END dff1;2.4位二进制加法计数器的VHDL描述LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;USE ieee.std_logic_unsigned.all;ENTITY cnt4 ISPORT(CLK: IN STD_LOGIC;Q : BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); END cnt4;ARCHITECTURE bhv OF cnt4 ISBEGINPROCESS(CLK)BEGINIF CLK'EVENT AND CLK='1'THENQ<=Q+1;END IF;END PROCESS;END bhv;3.十进制计数器的VHDL描述ENTITY count10 isPORT (cp : IN STD_LOGIC;q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END count10;ARCHITECTURE one OF count10 ISSIGNAL count :STD_LOGIC_VECTOR(3 DOWNTO 0) ;BEGINPROCESS (cp)BEGINIF cp'EVENT AND cp='1' THENIF count <="1001" THENcount ="0000";ELSE count <= count +1;END IF;END IF;END PROCESS;q<= count;END one;4.4位基本寄存器的VHDL描述ENTITY registerb isPORT (cp,reset : IN S TD_LOGIC;data : IN STD_LOGIC_VECTOR(3 DOWNTO 0); q: OUT STD_LOGIC_VECTOR(3 DOWNTO 0) );END registerb;ARCHITECTURE one OF registerb ISBEGINPROCESS (cp)BEGINIF cp'EVENT AND cp='1' THENIF reset='1' THENq<="0000";ELSEq<= data;END IF;END IF;END PROCESS;END one;实验4 QuartusII的原理图设计和层次化设计设计程序1. 1位全加器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity full_adder isport (a, b, c: in std_logic;carry, sum: out std_logic);end full_adder;architecture a of full_adder isbeginsum<=a xor b xor c;carry<=(a and b) or (a and c) or (b and c);end a;2. 由全加器完成4位加法器的设计library ieee; --四位加法器use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity b4_adder isport (a, b: in std_logic_vector (3 downto 0);s : out std_logic_vector (4 downto 0));end b4_adder;architecture a of b4_adder issignal c: std_logic_vector (4 downto 0);component full_Adder --元件说明语句,形成底层元件port ( a, b, c : in std_logic;carry, sum: out std_logic);end component;beginu0: full_adder port map (a (0), b (0), c (0), c (1), s (0));--元件例化语句,调用底层元件u1: full_adder port map (a (1), b (1), c (1), c (2), s (1));--采用位置映射,建立调用关联关系u2: full_adder port map (a (2), b (2), c (2), c (3), s (2));u3: full_adder port map (a (3), b (3), c (3), c (4), s (3));c(0) <= '0';s(4)<=c(4);End a;实验5 算术运算电路的VHDL设计设计程序1.实现4位二进制数a、b的加法、减法和乘法运算的VHDL程序设计。