当前位置:文档之家› 数字图书馆实验报告模板

数字图书馆实验报告模板

数字图书馆实验报告模板
数字图书馆实验报告模板

黑龙江大学

实验报告

黑龙江大学教务处

一、实验目的

本质目的:考核学生对greenstone软件的运用能力,提高自主创新能力。

任务目的:利用greenstone的GLI创建馆藏,馆藏要包含文文本文档、音频、图像,视频。

二、实验设备、材料

电脑、u盘、Greenstone软件、Greenstone教材与辅导案例

三、实验内容与实验步骤

3.1,馆藏名:visual library 简称 VL

3.2,实验内容:建立的馆藏必须包含:包含文文本文档、音频、图像,视频。布局合理,显示主题。

3.3,安装greenstone

双击greenstone.exe文件——》选择安装路径D:\Greenstone——》接受协议——下一步——》激活管理页面——》输入管理口令——》下一步——》等待安装完成即可;

3.4、运用greenstone

开始——》单击greenstone-2.85文件夹——》双击打开GLI——》进入效果图下:

注意:不可随意关闭任意一个窗口,否则请求不能完成。

3.5,利用html+css+js建立好做自己的馆藏网页框架、收集需要的文字、图像、多媒体等素材(素材在VLibrary\VLirary\...文件夹下可见)

3.5,将素材导入新建的馆藏vl中,单击Gather——》在workspace下的localfilespace中找到VLibrary文件夹——》右击拖到collection中即可

效果图:

3.6、选择Enrich——》给资源设置标识,方便检索,识别,查找,如图:

3.7,选择Design——》选择添加插件与删除插件,支持多类型馆藏,效果图:

3.8,选择Format——》general——》在url to’about page’image:中通过browse填充图片地址:_httpprefix_/collect/vl/images/header.png;collection description中输入:utf—8编码:

简体中文:

可是视化图书馆,让知识更好的共享,成就每一个有梦想的人在电子检索及数据库日益完善的时代,年轻读者不见得真能体会前辈为了图书而“上穷碧落下黄泉”的甘苦,也不太能理解以往读书人对于图书馆的深厚感情。我是过来人,对于北大图书馆也有过抱怨,但更多的是感恩。如何更好地为北大师生服务,更多地开放图书室,更方便学生的借阅,以及让师生自由进入书库“随便翻翻”,这都是北大图书馆有待努力的方向。清醒地讲述历史,同时清醒地驰想未来——对于北大图书馆来说,如何“百尺竿头更进一步”,当然不是一件很容易的事。请允许我引用1920年8月15日《申报》上一篇题为《北大图书馆之现在与将来》的文章,那是一篇表扬蔡元培、李大钊以及诸多北大教授如何热心图书馆事业的报道,开篇曰:“图书馆为学校第二生命,稍有常识者,无不知之。”结尾则是:“该校教员及学生对于本校图书馆事业能如此热心筹划,则前途发展定可预期也。”这九十多年前的老话,至今依然适用。。

对译的UTF-8的编码:

可是视化图书馆,让&# x77E5;识更好的共享,成

就每一个有梦想的人 在电子检索及数据库&#x 65E5;益完善的时代

,年轻读者不见

得真能体会前辈为了&# x56FE;书而“上穷

碧落下黄泉”的甘苦&# xFF0C;也不太能理

解以往读书人对于图& #x4E66;馆的深厚感

情。我是过来人,对&# x4E8E;北大图书馆

也有过抱怨,但更多&#x 7684;是感恩。如

何更好地为北大师生&#x 670D;务,更多地

开放图书室,更方便&# x5B66;生的借阅,

以及让师生自由进入& #x4E66;库“随便翻

翻”,这都是北大图&# x4E66;馆有待努力

的方向。

  清醒地讲述历史 F0C;同时清醒地

驰想未来——对于北&#x 5927;图书馆来说

,如何“百尺竿头更&# x8FDB;一步”,当

然不是一件很容易的&#x 4E8B;。请允许我

引用1920年8月15日《申报0 0B;上一篇题为

《北大图书馆之现在&#x 4E0E;将来》的文

章,那是一篇表扬蔡&# x5143;培、李大钊

以及诸多北大教授如&#x 4F55;热心图书馆

事业的报道,开篇曰&# xFF1A;“图书馆为

学校第二生命,稍有&

#x5E38;识者,无不

知之。”结尾则是:&# x201C;该校教员及#x5B66;生对Ө E;本校图书馆事业能如& #x6B64;热

心筹划,则前途发展&# x5B9A;可预期也。

”这九十多年前的老&# x8BDD;,至今依然适用。 002;

效果图:

3.9,1,选择format——》collection Special Macros——》在

2,灰色背景编码,需手动填充:将文件中的img文件夹复制到D:\Greenstone\web\images (D盘是greenstone的安装盘)效果如下:

3,javascript的添加方式一样,需要找到相应的选择器,保证文件路径的正确;

4.0,选择create——》单击build collection——》建设效果图:

5,运行效果一览图:

6,经过此次试验操作,熟悉了greenstone 的运行原理,英文水平也有所提高,greenstone 的marcos 文件是系统的,每一个对象在不同的macro 文件中都有一引用,所以对宏文件的操作非常麻烦。馆藏页面,是通过不同的宏,经服务器编译生成,生成的源码被封装,难以查看和修改。

楼宇自动化实验报告

学生实验报告 (理工类) 课程名称:楼宇自动化系统集成实验专业班级:14建筑电气与智能化(1)学生学号:1404104069学生姓名:施文 所属院部:机电工程学院指导教师:刘莎 2016 ——2017学年第 2 学期 金陵科技学院教务处制

实验项目名称:水位控制系统组态模拟实验学时: 同组学生姓名:实验地点: 实验日期:实验成绩: 批改教师:批改时间: 一、实验目的和要求 本实验通过学习MCGS嵌入版组态软件的使用及运用MCGS嵌入版组态软件来设计一些简单的具体情况对MCGS嵌入版组态软件的组态过程、操作方法和实现功能等环节,进一步的了解,在短时间内对MCGS嵌入版组态软件的内容、工作方法和操作步骤有一个总体的认识。 二、实验仪器和设备 1.PC一台 2.MCGS组态软件一套 三、实验步骤 1、创建工程 鼠标单击窗口右上角文件-新建工程,在弹出的窗口点击确认。 选择文件菜单中的“工程另存为”菜单项,弹出文件保存窗口。 在文件名一栏内输入“水位控制系统”,点击“保存”按钮,工程建立完毕。 2、制作工程画面 建立画面 ①在“用户窗口”中单击“新建窗口”按钮,建立“窗口0”。 ②选中“窗口0”,单击“窗口属性”,进入“用户窗口属性设置”。 ③将窗口名称改为:水位控制;窗口标题改为:水位控制;其它不变,单击“确认”。 ④在“用户窗口”中,选中“水位控制”,点击右键,选择下拉菜单中的“设置为启动窗口”选项,将该窗口设置为运行时自动加载的窗口。如图:

编辑画面 选中“水位控制”窗口图标,单击“动画组态”,进入动画组态窗口,开始编辑画面。 制作文字框图 ⑤单击工具条中的“工具箱”按钮,打开绘图工具箱。 ⑥选择“工具箱”内的“标签”按钮,鼠标的光标呈“十字”形,在窗口顶端中心位置拖拽鼠标,根据需要拉出一个一定大小的矩形。 ⑦在光标闪烁位置输入文字“水位控制系统演示工程”,按回车键或在窗口任意位置用鼠标点击一下,文字输入完毕。 ⑧选中文字框,作如下设置: 点击工具条上的(填充色)按钮,设定文字框的背景颜色为:浅蓝色; 点击工具条上的(线色)按钮,设置文本框的边线颜色为:黄色; 点击工具条上的(字符字体)按钮,设置文字字体为:宋体;字型为:粗体;大小为:26; 点击工具条上的(字符颜色)按钮,将文字颜色设为:蓝色;。 建立完成画面如下图:

数电实验报告

数字逻辑与数字电路实验报告 实验名称简易迷宫游戏

一、设计课题的任务要求 题目:简易迷宫游戏 设计并实现一个简易迷宫游戏机。 【基本要求】: 1、用8×8 点阵进行游戏显示。 2、迷宫游戏如图1 所示,采用双色点阵显示,其中红色LED 为迷宫墙壁,绿色LED表示人物。通过BTN0~BTN3 四个按键控制迷宫中的人物进行上下左右移动,使人物从起始点出发,走到迷宫的出口,游戏结束。 3、普通计时模式:通过按键BTN7 启动游戏,必须在30 秒内找到出口,否则游戏失败。用两个数码管进行倒计时显示。游戏胜利或者失败均要在8×8 点阵上有相应的画面出现。 4、迷宫中的人物在行走过程中,如果碰到墙壁,保持原地不动。 【提高要求】: 1、多种迷宫地图可以选择。 2、在计时的基础上增加计步的功能,每按一次控制按键步数加1,碰壁不计算步数,计步结果用数码管显示。 3、为游戏增加提示音乐,在不同时间段采用不同频率的信号控制蜂鸣器发声报警。 4、增加其他游戏模式。 5、自拟其它功能。 二、系统设计(包括设计思路、总体框图、分块设计) 设计思路: 依据题目要求,在实验中需要使用到8*8双色点阵输出迷宫图案,使用数码管输出计步步数和倒计时时间,使用蜂鸣器发出警报。由于实验要求需要使用到大量的按键输入。所以需要在输入输出模块中需要按键消抖模块。实验的输出模块共有点阵输出模块,数码管输出模块,蜂鸣器输出模块,在数码管和点阵输出中需要使用到扫描输出的概念。在游戏进行中需要实时判断并且记录人的位置,需要进行记时,计步,所以在整个系统中需要使用状态机进行当前状态转换,控制整个程序。所以在核心实现模块中包括行走模块,状态输出模块,计步模块,计时模块。 输入部分:消抖模块 时钟部分:多级分频器 控制部分:倒计时器,计步器,行走模块,状态机

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

自动化控制实验报告

本科生实验报告 实验课程自动控制原理 学院名称 专业名称电气工程及其自动化 学生XX 学生学号2013 指导教师 实验地点6C901 实验成绩 二〇一五年四月——二〇一五年五月

线性系统的时域分析 实验一(3.1.1)典型环节的模拟研究 一. 实验目的 1. 了解和掌握各典型环节模拟电路的构成方法、传递函数表达式及输出时域函数表达式 2. 观察和分析各典型环节的阶跃响应曲线,了解各项电路参数对典型环节动态特性的影响 二.典型环节的结构图及传递函数 方 框 图 传递函数 比例 (P ) K (S) U (S) U (S)G i O == 积分 (I ) TS 1(S)U (S)U (S)G i O = = 比例积分 (PI ) )TS 11(K (S)U (S)U (S)G i O +== 比例微分 (PD ) )TS 1(K (S) U (S) U (S)G i O +== 惯性环节 (T ) TS 1K (S)U (S)U (S)G i O += = 比例积分微分(PID ) S T K S T K K (S)U (S)U (S)G d p i p p i O ++ == 三.实验内容及步骤 观察和分析各典型环节的阶跃响应曲线,了解各项电路参数对典型环节动态特性的影响.。 改变被测环节的各项电路参数,画出模拟电路图,阶跃响应曲线,观测结果,填入实验报告 运行LABACT 程序,选择自动控制菜单下的线性系统的时域分析下的典型环节的模拟研究中的相应实验项目,就会弹出虚拟示波器的界面,点击开始即可使用本实验机配套的虚拟示波器(B3)单元的CH1测孔测量波形。具体用法参见用户手册中的示波器部分。 1).观察比例环节的阶跃响应曲线 典型比例环节模拟电路如图3-1-1所示。 图3-1-1 典型比例环节模拟电路 传递函数:0 1(S) (S)(S)R R K K U U G i O = == ; 单位阶跃响应: K )t (U = 实验步骤:注:‘S ST ’用短路套短接! (1)将函数发生器(B5)所产生的周期性矩形波信号(OUT ),作为系统的信号输入(Ui );该信号为零输 出时,将自动对模拟电路锁零。 ① 在显示与功能选择(D1)单元中,通过波形选择按键选中‘矩形波’(矩形波指示灯亮)。 ② 量程选择开关S2置下档,调节“设定电位器1”,使之矩形波宽度>1秒(D1单元左显示)。 ③ 调节B5单元的“矩形波调幅”电位器使矩形波输出电压= 4V (D1单元右显示)。 (2)构造模拟电路:按图3-1-1安置短路套及测孔联线,表如下。

数电实验报告1-数电实验报告实验一

实验一门电路逻辑功能及测试 一、实验目得 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路得引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机就是否正常,然后选择实验用得集成电路,按自己设计得实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1、1置位,分别测出电压及逻辑状态。(表1、1)

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B﹑Y接电平显示发光二极管。 (2)将电平开关按表1、2置位,将结果填入表中。 表1、2 3、逻辑电路得逻辑关系

(1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分 别填入表1、3﹑表1、4。 (2)写出上面两个电路得逻辑表达式。 表1、3 Y=A ⊕B 表1、4 Y=A ⊕B Z=AB 4、逻辑门传输延迟时间得测量 用六反相器(非门)按图1、5接线,输80KHz 连续脉冲,用双踪示波器测输入,输出相位差,计算每个门得平均传输延迟时间得tpd 值 : tpd =0、2μs/6=1/30μs 5、利用与非门控制输出。 选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S对输出脉冲得控制作用: 一端接高有效得脉冲信号,另一端接控制信号。只有控制信号端为高电平时,脉冲信号才能通过。这就就是与非门对脉冲得控制作用。 6.用与非门组成其她门电路并测试验证 (1)组成或非门。 用一片二输入端与非门组成或非门 Y = A+ B = A ? B 画出电路图,测试并填表1、5 中。 表1、5 图如下: (2)组成异或门 ① 将异或门表达式转化为与非门表达式。 A ⊕B={[(AA)'B]'[A( B B)']}' ② 画出逻辑电路图。 ③ 测试并填表1、6。表1、6

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

自动化控制实验报告(DOC 43页)

自动化控制实验报告(DOC 43页)

本科生实验报告 实验课程自动控制原理 学院名称 专业名称电气工程及其自动化 学生姓名 学生学号2013 指导教师 实验地点6C901 实验成绩 二〇一五年四月——二〇一五年五月

线性系统的时域分析 实验一(3.1.1)典型环节的模拟研究 一. 实验目的 1. 了解和掌握各典型环节模拟电路的构成方法、传递函数表达式及输出时域函数表达式 2. 观察和分析各典型环节的阶跃响应曲线,了解各项电路参数对典型环节动态特性的影响 二.典型环节的结构图及传递函数 方 框 图 传递函数 比例 (P ) K (S) U (S) U (S)G i O == 积分 (I ) TS 1 (S)U (S)U (S)G i O == 比例积分 (PI ) )TS 1 1(K (S)U (S)U (S)G i O +== 比例微分 (PD ) )TS 1(K (S) U (S) U (S)G i O +== 惯性 TS 1K (S)U (S)U (S)G i O += =

环节 (T) 比例 积分 微分 (PI D) S T K S T K K (S) U (S) U (S) G d p i p p i O + + = = 三.实验内容及步骤 观察和分析各典型环节的阶跃响应曲线,了解各项电路参数对典型环节动态特性的影响.。 改变被测环节的各项电路参数,画出模拟电路图,阶跃响应曲线,观测结果,填入实验报告 运行LABACT程序,选择自动控制菜单下的线性系统的时域分析下的典型环节的模拟研究中的相应实验项目,就会弹出虚拟示波器的界面,点击开始即可使用本实验机配套的虚拟示波器(B3)单元的CH1测孔测量波形。具体用法参见用户手册中的示波器部分。1).观察比例环节的阶跃响应曲线 典型比例环节模拟电路如图3-1-1所示。 图3-1-1 典型比例环节模拟电路 传递函数: 1 (S) (S) (S) R R K K U U G i O= = = ;单位阶跃响应:

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

自动化仪表实验报告

过程控制仪表实验报告 姓名:大葱哥 学号: 班级:测控1202 2015.6.25

实验二S7-200 PLC 基本操作练习 一、实验目的 1、熟悉S7-200PLC 实验系统及外部接线方法。 2、熟悉编程软件STEP7-Micro/WIN 的程序开发环境。 3、掌握基本指令的编程方法。 二、实验设备 1、智能仪表开发综合实验系统一套 (包含PLC主机、各实验挂箱、各功能单元、PC机及连接导线若干)三、实验系统 三、使用注意事项 1、实验接线前必须先断开电源开关,严禁带电接线。接线完毕,检查无误后,方可上电。 2、实验过程中,实验台上要保持整洁,不可随意放置杂物,特别是导电的工具和多余的导线等,以免发生短路等故障。系统上电状态下,电源总开关下方L、N端子间有220VAC输出,实验中应特别注意! 3、本实验系统上的各档直流电源设计时仅供实验使用,不得外接其它负载。 4、实验完毕,应及时关闭各电源开关(置关端),并及时清理实验板面,整理好连接导线并放置规定的位置。 四、实验内容 (一)熟悉S7-200PLC的接线方法 (二)STEP7-Micro/WIN软件简介 STEP7-Micro/WIN编程软件为用户开发PLC应用程序提供了良好的操作环境。在实验中应用梯形图语言进行编程。编程的基本规则如下: 1、外部输入/输出继电器、内部继电器、定时器、计数器等器件的接点可多次重复使用,无需用复杂的程序结构来减少接点的使用次数。 2、梯形图每一行都是从左母线开始,线圈接在右边。接点不能放在线圈的右边,在继电器控制的原理图中,热继电器的接点可以加在线圈的右边,而PLC的梯形图是不允许的。 3、线圈不能直接与左母线相连。如果需要,可以通过一个没有使用的内部继电器的常闭接点或者特殊内部继电器的常开接点来连接。 4、同一编号的线圈在一个程序中使用两次称为双线圈输出。双线圈输出容易引起误操作,应尽量避免线圈重复使用。 5、梯形图程序必须符合顺序执行的原则,即从左到右,从上到下地执行,如不符合顺序执行的电路就不能直接编程。 6、在梯形图中串联接点使用的次数是没有限制,可无限次地使用。 7、两个或两个以上的线圈可以并联输出。

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字逻辑实验报告模板

实验名称: 数字逻辑实 验 系 别: 年 级: 专 业: 班 级: 学 号: 姓 名: 成 绩: 任课教师: 2016 年 5 月 21 日 试验一门电路逻辑功能及测试

1、实验目的 1、熟悉门电路逻辑功能 2、熟悉数字逻辑学习机及示波器的使用 2、实验仪器及材料 器件: 74LS00 二输入端四与非门 2片 74LS20 四输入端双与非门 1片 74LS86 二输入端四异或门 1片 3、预习要求 1、复习门电路工作原理及相应的逻辑表达式 2、熟悉所用集成电路的引线位置及各引线用途 3、了解双踪示波器使用方法 4、实验内容 实验前先检查机器电源是否正常。 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20一只,插入电路板,连线后根据芯片图检测门电路功能是否正确。 (2)将电平开关按表1.1置位,分别测出输出电压及逻辑状态。 表1.1 输入输出 1234Y H H H H L H H H L L H H L L L H

L L L L 2、 异或门逻辑功能测试 (1)二输入四异或门电路74LS86,按图1.2接线,输入端1,2,4,5接电平开关,输出端A、B、y接电平显示发光二 极管。 (2)将电平开关按表1.2置位,将结果填入表中。 输入 输出 A B Y L L H L H H H H H H L H L L L L L L H L H H L H 3、逻辑电路的逻辑关系 (1)用74LS00按图1.3,1.4接线,将输入输出的逻辑关系分别填入表1.3,1.4中。

(2)写出上面两个电路逻辑表达式。 5、思考 怎样判断门电路逻辑功能是否正常?

电子设计自动化实验报告

*************** 实验报告 课程名称:电子设计自动化小组成员及学号:_______________ _________ _______________ _______________ _______________ ********************** ***********

应用程序,启动protel99se。 3、创建一个新的设计数据库文件 步骤:1). 【File】|【New】 2). 单击Browse按钮,选择文件的存储位置,Protel 99 SE默认文件名为desigh .ddb”。 3). 单击【OK】们就创建了一个新的设计数据库文件。 4、启动原理图编辑器 步骤:1). 【File】|【New】 2). 单击Schematic Document 【OK】或直接双击 3). 单击Explore 下的Sheet1或直接双击工作窗口中的Sheet1

1).更改屏幕分辨率 2).界面字体设置 8、在Protel99se中建立自己的设计数据库Design.ddb。

实验内容与分析: 1).设置电路图纸 假定系统已进入原理图编辑器,提出以下要求: A.图纸大小:B号; B.图纸方向:水平方向放置; C.标题栏型式:标准型标题栏。 2).将库文件“Miscellaneous Devices.ddb”, “Dallas Microprocessor.ddb”,“Intel Databooks.ddb”, “Protel Dos Schematic Libraries.ddb”依次装入。 3、放置元件 在元件库中选定所需元件,然后放置元件到工作平面上。 4、删除元器件 1)菜单命令【Edit】|【Delete】 2)当光标变为十字形后,将光标移到要删除的元件处,单击鼠标左键即可将所指元件删除。此后,程序仍处于删除命令状态,若要退出单击鼠标右键或按ESC 键退出命令状态。 5、元件移动 菜单命令:【Edit】|【Move】|【Move】

数电实验报告模板

河 北 科 技 大 学 实 验 报 告 级 专业 班 学号 年 月 日 姓 名 同组人 指导教师 吕文哲 任文霞 实验名称 实验二 基本门电路逻辑功能的测试 成 绩 实验类型 验证型 批阅教师 一、实验目的 (1)掌握常用门电路的逻辑功能,熟悉其外形及引脚排列图。 (2)熟悉三态门的逻辑功能及用途。 (3)掌握TTL 、CMOS 电路逻辑功能的测试方法。 二、实验仪器与元器件 (1)直流稳压电源 1台 (2)集成电路 74LS00 四2输入与非门 1片 74LS86 四2输入异或门 1片 74S64 4-2-3-2输入与或非门 1片 74LS125 四总线缓冲门(TS ) 1片 CD4011 四2输入与非门 1片 三、实验内容及步骤 1.常用集成门电路逻辑功能的测试 在数字实验板上找到双列直插式集成芯片74LS00和74LS86。按图进行连线。测试各电路的逻辑功能,并将输出结果记入表中。 门电路测试结果 2.测试与或非门74S64的逻辑功能 在实验板上找到芯片74S64,实现Y AB CD =+的逻辑功能。 Y Y &

3.用与非门组成其他逻辑门电路 (1)用与非门组成与门电路 按图接线,按表测试电路的逻辑功能。根据测得的真值表,写出输出Y的逻辑表达式。 真值表 逻辑表达式:Y=AB (2)用与非门组成异或门电路 按图接线,将测量结果记入表中,并写出输出Y 的逻辑表达式。 真值表 逻辑表达式:B A Y ⊕= 真值表 4.三态门测试 (1)三态门逻辑功能测试 三态门选用 74LS125将测试结果记入表中。 (2)按图接线。将测试结果记录表中。 真值表 四、思考题 1.如果将74S64的6脚、13脚所在与门的各输入端全部接高电平或悬空,那么与或非门还能工作吗?此时输出是什么状态?说明与或非门中多余与门输入端的处理方法。 根据实验过程总结回答此问题 2.如何判断三态门的输出状态是0、1状态还是高阻态?当多个三态门的输出端连在一起实现总线结构时,有一个三态门处于工作则其余的三态门应处于什么状态?它们能否同时工作输出数据? 根据实验过程总结回答此问题

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

软件测试自动化实验报告

软件测试自动化实验报告 班级: 姓名: 学号:

一、实验目的 掌握软件测试自动化的基础知识。 二、实验内容 1、软件测试自动化的初步介绍和产生 软件测试自动化就是通过测试工具或其他手段,按照测试人员的预定计划对软件产品进行自动的测试,它是软件测试的一个重要组成部分,能够完成许多手工无法完成或者难以实现的一些测试工作。 通常适合于软件测试自动化的场合: 1.回归测试,重复单一的数据录入或是击键等测试操作造成了不必要的时间浪费和人力浪费; 2.此外测试人员对程序的理解和对设计文档的验证通常也要借助于测试自动化工具; 3.采用自动化测试工具有利于测试报告文档的生成和版本的连贯性; 4.自动化工具能够确定测试用例的覆盖路径,确定测试用例集对程序逻辑流程和控制流程的覆盖。 2、自动化测试的前提条件 测试自动化,自动化也是一门技术,但是与测试技术存在很大区别。自动化程度与测试的质量是独立的。自动化的环境需求:高效的基于操作系统的应用软件的自动测试必须源于好的测试软件和好的测试自动化者,实现人与技术的结合。 实施自动化测试之前需要对软件开发过程进行分析,以观察其是否适合使用自动化测试。通常需要同时满足以下条件: 1.软件需求变动不频繁。 2.项目周期足够长。 3.自动化测试脚本可重复使用。 3、自动化测试的过程 自动化测试与软件开发过程从本质上来讲是一样的,无非是利用自动化测试工具(相当于软件开发工具),经过对测试需求的分析(软件过程中的需求分析),设计出自动化测试用例(软件过程中的需求规格),从而搭建自动化测试的框架(软件过程中的概要设计),设计与编写自动化脚本(详细设计与编码),测试脚本的正确性,从而完成该套测试脚本(即主要功能为测试的应用软件)。 1.自动化测试需求分析。 2.自动化测试框架的搭建。 3.自动化测试脚本的编写。 4.脚本的测试与试运行。 自动化测试引入的原因是就把软件测试人员从枯燥乏味的机械性手工测试劳动中解放出来,以自动化测试工具取而代之,使测试人员的精力真正花在提高软件产品质量本身。 4、如何实现测试自动化的计划 1.首先将测试的基本管理形成自动化,如BUG管理等; 2.然后利用测试自动化工具来实现一些手工无法进行的测试活动,如:压力,并发,强度测试等; 3.接着利用测试自动化工具来完成回归测试中的缺陷跟踪测试; 4.再往后就可以利用测试自动化工具来记录两个版本的异同,以找出缺陷; 5.最后将整个回归测试都用自动化脚本保存,以完成每次的回归测试; 6.而对于白盒测试则可以引入测试工具进行代码分析。 5、一些适于考虑进行自动化的测试操作为

数字逻辑实验报告

数字逻辑实验报告:加法器

安徽师范大学 学院实验报告 专业名称软件工程 实验室 实验课程数字逻辑 实验名称加法器实验姓名 学号 同组人员 实验日期 2013.3.26

注:实验报告应包含(实验目的,实验原理,主要仪器设备和材料,实验过程 和步骤,实验原始数据记录和处理,实验结果和分析,成绩评定)等七项内容。具体内容可根据专业特点和实验性质略作调整,页面不够可附页。 实验目的:学会使用实验箱搭建基本组合逻辑电路。 实验原理:全加器是中规模组合逻辑器件,它实现二进制数码的加法运算,是计算机中最基本的运算单元电路。一位加法器有三个输入端Ai 、B i 、C i -1,即被加数,有两个输出端S i 和B i 即相加及向高一位的进位输出。 (全加真值表) Si=A i B i C i -1+A i B i C i -1+A i B i C i -1+A i B i C i -1 C i =A i B i +A i C i -1+B i C i -1 全加器主要用于数值运算;另外,全加器还可以实现组合逻辑函数。 主要仪器设备和材料:数字逻辑电路实验装置、芯片 74LS32、芯片 74LS08、 芯片74LS86,导线 实验过程和步骤: ①关闭实验箱的电源开关,将三个芯片正确地安装在实验箱装置上; ②分别用三根导线将三个芯片的第14号引脚与实验箱左下角的+5V 连接起来,,再分别用三根导线将三个芯片的第7号引脚与实验箱左下角的GND 连接 Ai B i C i -1 S i B i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

相关主题
文本预览
相关文档 最新文档