当前位置:文档之家› 哈工大计算机系统结构模拟试题

哈工大计算机系统结构模拟试题

哈工大计算机系统结构模拟试题
哈工大计算机系统结构模拟试题

哈工大结构动力学大作业2012春

结构动力学大作业 对于如下结构,是研究质量块的质量变化和在简支梁上位置的变化对整个系统模态的影响。 1 以上为一个简支梁结构。集中质量块放于梁上,质量块距简支梁的左端点距离为L. 将该简支梁简化为欧拉伯努利梁,并离散为N 个单元。每个单元有两个节点,四个自由度。 单元的节点位移可表示为: ]1122,,,e v v δθθ?=? 则单元内一点的挠度可计作: 带入边界条件: 1 3 32210)(x a x a x a a x v +++=0 1)0(a v x v ===3 322102)(L a L a L a a v L x v +++===1 10 d d a x v x ===θ2 321232d d L a L a a x v L x ++===θ1 0v a =

[]12 3 4N N N N N = 建立了单元位移模式后,其动能势能均可用节点位移表示。单元的动能为: 00111()222 l l T T T ke e e e e y E dx q N Ndxq q mq t ρρ?===??? 其中m 为单元质量阵,并有: l T m N Ndx ρ=? 带入公式后积分可得: 222215622541322413354 1315622420133224l l l l l l l m l l l l l l ρ-?? ??-??= ?? -?? ---? ? 单元势能可表示为 22 200 11()()22 2 T l l T T e pe e e e q y E EI dx EI N N dxq q Kq x ?''''== =??? 其中K 为单元刚度矩阵,并有 ()l T K EI N N dx ''''=? 2 23 2212 612664621261266264l l l l l l EI k l l l l l l l -????-??=??---??-?? 以上为单元类型矩阵,通过定义全局位移矩阵,可以得到系统刚度矩阵和系统质量矩 1 1θ=a )2(1)(3211222θθ+--=L v v L a )(1)(22122133θθ++-= L v v L a 1232133222231)(θ???? ??+-+???? ??+-=L x L x x v L x L x x v 2 2232332223θ??? ? ??-+???? ??-+L x L x v L x L x 2 4231211)()()()()(θθx N v x N x N v x N x v +++=

哈工大数电大作业

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础 设计题目:血型与状态机 院系: 班级: 设计者: 学号: 哈尔滨工业大学

血型逻辑电路设计 一实验目的 1.掌握采用可编程逻辑器件实现数字电路与系统的方法。 2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。 3.学会设计血型能否输血的数字电路。 4.掌握Verilog HDL描述数字逻辑电路与系统的方法。 二设计要求 1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。 2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。三电路图 1.电路模块图(简化) 应用: 2.内部电路组成(简化)

四 编程 1.源程序 module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi); input M; input N; input P;

output E; output[3:0] F; output[3:0] G; output[7:0] OUT; output[3:0] CTL; reg E; reg[3:0] F; reg[3:0] G; reg[7:0] OUT; reg[7:0] OUT1; reg[7:0] OUT2; reg[7:0] OUT3; reg[7:0] OUT4; reg[3:0] CTL=4'b1110; output bi; reg bi; integer clk_cnt; reg clk_400Hz; always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000) begin clk_cnt <= 1'b0; clk_400Hz <= ~clk_400Hz; end else clk_cnt <= clk_cnt + 1'b1; //位控制 reg clk_1Hz; integer clk_1Hz_cnt; //1Hz发声信号 always @(posedge clk) if(clk_1Hz_cnt==32'd2*******-1) begin clk_1Hz_cnt <= 1'b0; clk_1Hz <= ~clk_1Hz; end else clk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz) CTL <= {CTL[2:0],CTL[3]}; //段控制 always @(CTL) case(CTL) 4'b0111: OUT=OUT1; 4'b1011:

土力学期末试题及答案

土力学期末试题及答案. 一、单项选择题 1.用粒径级配曲线法表示土样的颗粒组成 情况时,若曲线越陡,则表示土的 ( )

A.颗粒级配越好 B.颗粒级配越差C.颗粒大小越不均匀 D.不均匀系数越大 2.判别粘性土软硬状态的指标是 ( ) A.塑性指数 B.液性指数 C.压缩系数 D.压缩指数 3.产生流砂的充分而必要的条件是动水力( )

A.方向向下 B.等于或大于土的有效重度 C.方向向上 D.方向向上且等于或大于土的有效重度 4.在均质土层中,土的竖向自重应力沿深度的分布规律是 ( ) A.均匀的 B.曲线的 C.折线的 D.直线的 5.在荷载作用下,土体抗剪强度变化的原因是 ( ) A.附加应力的变化 B.总应力的变化C.有效应力的变化 D.自重应力的变化6.采用条形荷载导出的地基界限荷载P用于矩1/4. 形底面基础设计时,其结果 ( ) A.偏于安全 B.偏于危险 C.安全度不变 D.安全与否无法确定

7.无粘性土坡在稳定状态下(不含临界稳定)坡角β与土的内摩擦角φ之间的关系是( ) A.β<φ B.β=φ C.β>φ D.β≤φ 8.下列不属于工程地质勘察报告常用图表的是 ( ) A.钻孔柱状图 B.工程地质剖面图

C.地下水等水位线图 D.土工试验成果总表 9.对于轴心受压或荷载偏心距e较小的基础,可以根据土的抗剪强度指标标准值φk、Ck按公式确定地基承载力的特征值。偏心 为偏心方向的基础边长)Z(注:距的大小规定为( ) A.e≤ι/30 B.e≤ι/10 .e≤b/2 DC.e≤b/4 对于含水量较高的粘性土,堆载预压法处理10. ( ) 地基的主要作用之一 是.减小液化的可能性A B.减小冻胀.消除湿陷性 D .提高地基承载力C. 第二部分非选择题 11.建筑物在地面以下并将上部荷载传递至地基的结构称为____。

哈工大结构风工程课后习题答案

结构风工程课后思考题参考答案 二、大气边界层风特性 1 对地表粗糙度的两种描述方式:指数律和对数律(将公式写上)。 2 非标准地貌下的风速换算原则(P)和方法(P公式)。1514 3 脉动风的生成: 近地风在流动过程中由于受到地表因素的干扰,产生大小不同的涡旋,这些涡旋的迭加作用在宏观上表现为速度的随机脉动。在接近地面时,由于受到地表阻力的影响,导致风速减慢并逐步发展为混乱无规则的湍流。 脉动风的能量及耗散机制:而湍流运动可以看做是能量由低频脉动向高频脉动过渡,并最终被流体粘性所耗散的过程。在低频区漩涡尺度较大,向中频区(惯性子区)、高频区(耗散区)漩涡尺度逐渐减小,小尺度涡吸收由惯性子区传递过来的能量,能量最终被流体粘性所耗散。 4 Davenport谱的特点:先写出公式 通过不同水平脉动风速谱的比较: (1)D谱不随高度变化,而其他谱(如Kaimal谱、Solari谱、Karman谱)则考虑了近地湍流随高度变化的特点;(D谱不随高度变化,在高频区符合-5/3律,没有考虑近地湍流随高度变化的特点;) (2)D谱的谱值比其它谱值偏大,会高估结构的动力反应,计算结果偏于保守。(3)S(0)=0,意味着L=0,与实际不符。uu5 湍流度随高度及地面粗糙程度的变化规律:随地面粗糙度的增大而增大,随高度的增加而减小。 积分尺度随高度及地面粗糙程度的变化规律:大量观测结果表明,大气边界层中的湍流积分尺度是地面粗糙度的减函数,而且随着高度的增加而增加。 功率谱随高度及地面粗糙程度的变化规律:随着高度增大和粗糙度的减小,能量在频率上的分布趋于集中,谱形显得高瘦;随着高度减小和粗糙度的增大,能量在频率上的分布趋于分散,谱形显得扁平。 相干函数随高度及地面粗糙程度的变化规律:随地面粗糙度的增大而减小,随高度的增加而增大。 6 阵风因子与峰值因子的区别:阵风因子G=U'/U,是最大风速与平均风速的比/ σ是最大脉动风速与脉动风速均方根的比值。g=u 值;峰值因子umax联系:二者可以相互换算:G=(U'+gσ)/U'=1+gσ/U'=1+gI。Uuu 三、钝体空气动力学理论 1 钝体绕流的主要特征有: )粘性效应:气体粘性随温度升高而增大,液体粘性随温度升高而减小。1((2)边界层的形成:由于粘性效应,使靠近物体表面的空气流动速度减慢,形 成气流速度从表面等于零逐渐增大到与外层气流速度相等,形成近壁面流动现象。 (3)边界层分离:如果边界层内的流体微粒速度因惯性力减小到使靠近表面的气流倒流,便出现了边界层分离。 (4)再附:在一定条件下,自建筑物前缘分离的边界层会偶然再附到建筑物表面,这时附面层下会形成不通气的空腔,即分离泡。每隔一段时间分离泡破裂产生较大的风吸值,产生一个风压脉冲。 (5)钝体尾流:对于细长钝体,漩涡脱落是在其两侧交替形成的。漩涡脱落时导致建筑物出现横向振动的主要原因。

哈工大数电大作业——学号后三位为模的计数器

数字电子技术应用Verilog HDL设计计数器 学院:航天学院 班级: 学号: 姓名: 教师:

设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。 设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。 程序代码: modulejishuqi(out,reset,clk); output [7:0] out; inputreset,clk; reg [7:0] out; always @(posedgeclk) begin if(!reset)out<=8'h00; else if(out>=113)out=8'h00; else out<=out+1; end endmodule 激励源设置程序: `timescale 1 ns/ 1 ps modulejishuqi_test(); regclk; reg reset; wire [7:0] out; jishuqi i1 ( .clk(clk), .out(out), .reset(reset) ); initial begin #1 clk=0; #10 reset=0; #40 reset=1; end always #20 clk=~clk ; endmodule Modelsim仿真波形图:

注二进制数01110001化成十进制数为113,因此得到了正确的波形图。RTL Viewer Technology Map Viewer

2017土力学期末考试答案(2016)春季)

河南工程学院 2017年秋《土力学》期末试题 批次专业:2016年春季-建筑工程技术(高起专)课程:土力学与地基基础(高起专)总时长:180分钟 1. ( 多选题 ) 地基破坏模式包括()。(本题3.0分) A、扭剪破坏 B、整体剪切破坏 C、局部剪切破坏 D、冲剪破坏 标准答案:BCD 2. ( 多选题 ) 地基基础设计基本验算要求有()。(本题 3.0分) A、地基土体强度条件 B、地基变形条件 C、地基稳定性验算 D、建筑物抗浮验算 标准答案:ABCD 3. ( 多选题 ) 三轴压缩试验主要设备为三轴压缩仪。三轴压缩仪由()等组成。(本题3.0分) A、压力室 B、轴向加荷系统 C、施加周围压力系统 D、孔隙水压力量测系统

标准答案:ABCD 4. ( 多选题 ) 影响土抗剪强度的因素主要有()。(本题3.0分) A、土粒的矿物成分、颗粒形状与级配 B、土的原始密度 C、土的含水量 D、土的结构 E、试验方法和加荷速率 标准答案:ABCDE 5. ( 多选题 ) 影响内摩擦角的主要因素有()。(本题3.0分) A、密度 B、颗粒级配、形状 C、矿物成分 D、含水量 标准答案:ABCD 6. ( 多选题 ) 影响基底压力分布的因素有()。(本题3.0分) A、基础的形状 B、平面尺寸 C、刚度 D、埋深 E、基础上作用荷载的大小及性质 F、地基土的性质 标准答案:ABCDEF

7. ( 多选题 ) 影响地基沉降大小的因素有()。(本题3.0分) A、建筑物的重量及分布情况 B、地基土层的种类、各层土的厚度及横向变化 C、土的压缩性大小 D、基础形式及埋深 标准答案:ABCD 8. ( 多选题 ) 桩的质量检验方法有()。(本题3.0分) A、开挖检查 B、抽芯法 C、声波检测法 D、动测法 标准答案:ABCD 9. ( 多选题 ) 挡土墙的主要结构型式有()。(本题3.0分) A、重力式挡土墙 B、悬臂式挡土墙 C、扶臂式挡土墙 D、轻型挡土结构 标准答案:ABCD 10. ( 多选题 ) 10.通常称的软土,应有下列哪些特征: 。(本题3.0分) A、含水量较高 B、结构性较强

刘朝友-装备设计大作业

机械装备大作业 卧式升降台铣床主传动系统设计 学院:机电学院 专业:机械设计制造及其自动化系 班级:1008104班 姓名:刘朝友 学号:1100801005 哈尔滨工业大学

目录 一、设计任务................................................................................................. 错误!未定义书签。 二、运动设计 (1) 1 确定转速系列 (1) 2 绘制转速图 (2) 3 确定变速组齿轮传动副的齿数及定比传动副带轮直径 (3) 4 绘制传动系统图 (5) 5 核算主轴转速误差 (6) 三、动力设计 (7) 1 传动轴的直径的确定 (7) 2 齿轮模数的初步计算 (8) 3、选择带轮传动带型及根数 (9) 参考文献 (9)

一、设计任务 设计题目:卧式升降台铣床主传动系统设计 已知条件:工作台面积320×12500mm2,最低转速31.5r/min ,公比φ=1.41,级数Z=12,切削功率N=5.5KW 。 设计任务: 1. 运动设计:确定系统的转速系列;分析比较拟定传动结构方案;确定传动副的传动 比和齿轮的齿数;画出传动系统图;计算主轴的实际转速与标准转速的相对误差。 2. 动力设计:确定各传动件的计算转速;初定传动轴直径、齿轮模数;选择机床主轴 结构尺寸。 二、运动设计 1、确定转速系列 已知最低转速为31.5r/min ,公比?=1.41,查教材表标准转速系列的本系统转速系列如下: 31.5 45 63 90 125 180 250 355 500 710 1000 1400r/min 则转速的调整范围max min 1400 44.4431.5 n n R n = == 1)传动组和传动副数可能的方案有: 12=4?3 12=3?4 12=3?2?2 12=2?3?2 12=2?2?3 前两个方案虽然可以减少轴的数目,但有一个传动组内有四个传动副。若采用一个四连滑移齿轮,则会增加轴向尺寸;若用两个双联滑移齿轮,操纵机构必须互锁防止两滑移齿轮同时啮合。故不采用。 对于后三个方案,遵循传动副“前多后少”的原则,选取方案12=3?2?2 2)确定结构式 12=3?2?2方案中,因基本组和扩大组排列顺序的不同而有以下6种扩大顺序方案: 63122312??=, 61222312??=, 16222312??= 36122312??=, 21422312??=, 12422312??= 方案1,2,3,4的第二扩大组26x =,2p =2,则2r = max ) 12(68r ==-??是可行的。方案5,6中,2x =4,23p =,则2r = max ) 13(416r ?=-??,不可行。 在可行的1,2,3,4方案中,为使中间传动轴变速范围最小,采用扩大顺序与传动顺序 一致的传动方案1,13612322=??。

结构动力学大作业

结构动力学作业 姓名: 学号:

目录 1.力插值法 (1) 1.1分段常数插值法 (1) 1.2分段线性插值法 (4) 2.加速度插值法 (7) 2.1常加速度法 (7) 2.2线加速度法 (9) 附录 (12) 分段常数插值法源程序 (12) 分段线性插值法源程序 (12) 常加速度法源程序 (13) 线加速度法源程序 (13)

1.力插值法 力插值法对结构的外荷载进行插值,分为分段常数插值法和分段线性插值法,这两种方法均适用于线性结构的动力反应计算。 1.1分段常数插值法 图1-1为一个单自由度无阻尼系统,结构的刚度为k ,质量为m ,位移为y (t ),施加的外力为P (t )。图1-2为矩形脉冲荷载的示意图,图中t d 表示作用的时间,P 0表示脉冲荷载的大小。 图1-1 单自由度无阻尼系统示意图 图1-2 矩形脉冲荷载示意图 对于一个满足静止初始条件的无阻尼单自由度体系来说,当施加一个t d 时间的矩形脉冲荷载,此时结构在t d 时间内的位移反应可以用杜哈梅积分得到: 0()sin ()2 (1cos )(1cos ) (0) t st st d P y t t d m t y t y t t T ωττω πω=-=-=-≤≤? (1-1) 如果结构本身有初始的位移和速度,那么叠加上结构自由振动的部分,结构的位移反应为: 02()cos sin (1cos ) (0 )st d y t y t y t t y t t T πωωω =+ +-≤≤ (1-2)

图1-3 分段常数插值法微段示意图 对于施加于结构任意大小的力,将其划分为Δt 的微段,每一段的荷载都为一个常数(每段相当于一个矩形的脉冲荷载),如图1-3所示,则将每一段的位移和速度写成增量的形式为: 1cos t sin t (1cos t)i i i i y P y y k ωωωω +=?+ ?+-? (1-3) i+1/sin t cos t sin t i i i y P y y k ωωωωω =-?+ ?+ ? (1-4) 程序流程图如下

(完整版)土力学期末试题及答案

一、单项选择题 1.用粒径级配曲线法表示土样的颗粒组成情况时,若曲线越陡,则表示土的 ( B ) A.颗粒级配越好 B.颗粒级配越差 C.颗粒大小越不均匀 D.不均匀系数越大 2.判别粘性土软硬状态的指标是 ( B ) A.塑性指数 B.液性指数 C.压缩系数 D.压缩指数 3.产生流砂的充分而必要的条件是动水力 ( D ) A.方向向下 B.等于或大于土的有效重度 C.方向向上 D.方向向上且等于或大于土的有效重度 4.在均质土层中,土的竖向自重应力沿深度的分布规律是 ( D ) A.均匀的 B.曲线的 C.折线的 D.直线的 5.在荷载作用下,土体抗剪强度变化的原因是 ( C ) A.附加应力的变化 B.总应力的变化 C.有效应力的变化 D.自重应力的变化 6.采用条形荷载导出的地基界限荷载P1/4用于矩形底面基础设计时,其结果 ( A ) A.偏于安全 B.偏于危险 C.安全度不变 D.安全与否无法确定 7.无粘性土坡在稳定状态下(不含临界稳定)坡角β与土的内摩擦角φ之间的关系是( A ) A.β<φB.β=φ

C.β>φ D.β≤φ 8.下列不属于工程地质勘察报告常用图表的是 ( C ) A.钻孔柱状图 B.工程地质剖面图 C.地下水等水位线图 D.土工试验成果总表 9.对于轴心受压或荷载偏心距e较小的基础,可以根据土的抗剪强度指标标准值φk、Ck 按公式确定地基承载力的特征值。偏心距的大小规定为(注:Z 为偏心方向的基础边长) ( ) A.e≤ι/30 B.e≤ι/10 C.e≤b/4 D.e≤b/2 10.对于含水量较高的粘性土,堆载预压法处理地基的主要作用之一是 ( C ) A.减小液化的可能性 B.减小冻胀 C.提高地基承载力 D.消除湿陷性 第二部分非选择题 11.建筑物在地面以下并将上部荷载传递至地基的结构称为____。 12.土的颗粒级配曲线愈陡,其不均匀系数C u值愈____。 13.人工填土包括素填土、冲填土、压实填土和____。 14.地下水按埋藏条件可分为上层滞水、________和承压水三种类型。 15.在计算地基附加应力时,一般假定地基为均质的、应力与应变成________关系的半空间。 16.前期固结压力大于现有土自重应力的土称为________土。 17.土的抗剪强度指标在室内通过直接剪切试验、三轴压缩试验和________验测定。 18.无粘性土土坡的稳定性大小除了与土的性质有关外,还与____有关。 19.墙后填土为粘性土的挡土墙,若离填土面某一深度范围内主动土压力强度为零,则该深

机械制造装备设计大作业

《机械制造装备设计》大作业 一、大作业类型: 1.设计类 2.论文类 二、周知: 每位同学在课程结束前(16周)至少上交一份大作业作为本课程的考核材料,占课程总成绩的70%。电子版和打印版各一份,由各班学习委员收齐上交,电子版由各班学习委员刻录光盘后统一上交存档。 三、设计或撰写要求 (一)设计类 1. 机床主传动系统设计 设计目的:通过机床主传动系统设计,使学生进一步理解设计理论,得到设计构思、方案分析、零件计算、查阅技术资料等方面的综合训练,树立正确的设计思想,掌握基本的设计方法,培养学生具有初步机械系统设计和计算能力。 设计内容:机床主传动系统设计的运动设计和动力设计 (1)运动设计 根据给定的机床用途、规格、极限速度、转速数列公比(或转速级数),分析、比较、拟定传动结构方案(包括结构式、转速图和传动系统图),确定传动副的传动比及齿轮的齿数,并计算主轴的实际

转速与标准转速的相对误差。 (2)动力设计 根据给定的电动机功率和传动件的计算转速,初步计算传动轴直径、齿轮模数;确定皮带类型及根数,确定机床主轴结构尺寸。 设计要求: (1)机床的规格及用途; (2)运动计; (3)动力设计(包括零件及组件的初算); (4)其它需要说明或论证的问题; (5)参考文献。 机床主传动系统设计大作业篇幅不少于3000字。要求论证充分、计算正确、叙述简明、条理清楚、合乎逻辑、词句通顺、标点正确、文字工整、图表清晰。 大作业后须附有参考文献目录,包括作者、书刊名称、出版社和出版年份。在说明书中引用所列的参考文献时,只在方括号里注明所列文献序号即可。 设计题目:XX机床主传动系统运动和动力设计 (三相4极异步电机,同步转速1500rpm)

哈工大结构力学题库七篇(I)

第七章影响线 一判断题 1. 图示梁AB与A0B0,其截面C与C0弯矩影响线和剪力影响线完全相同。(X) 题1图题2图 2. 图示结构Q E影响线的AC段纵标不为零。(X) 3. 图示梁K截面的M K影响线、Q K影响线形状如图a、b所示。 4. 图示梁的M C影响线、Q C影响线形状如图a、b所示。 5. 图示梁的M C影响线、M B影响线形状如图a、b所示。 6. 图示结构M B影响线的AB段纵标为零。 7. 图示梁跨中C截面弯矩影响线的物理意义是荷载P=1作用在截面C的弯矩图形。(X) 8. 用静力法作静定结构某量值的影响线与用机动法作该结构同一量值的影响线是不等价 的。(X) 9. 求某量值影响线方程的方法,与恒载作用下计算该量值的方法在原理上是相同的。(√) 10. 影响线是用于解决活载作用下结构的计算问题,它不能用于恒载作用下的计算。(X) 11. 移动荷载是指大小,指向不变,作用位置不断变化的荷载,所以不是静力荷载。(X) 12. 用静力法作影响线,影响线方程中的变量x代表截面位置的横坐标。(X) 13. 表示单位移动荷载作用下某指定截面的内力变化规律的图形称为内力影响线。(√) 14. 简支梁跨中截面弯矩的影响线与跨中有集中力P时的M图相同。(X) 15. 简支梁跨中C截面剪力影响线在C截面处有突变。(√) 16. 绝对最大弯矩是移动荷载下梁的各截面上最大的弯矩。(√) 17. 静定结构及超静定结构的内力影响线都是由直线组成。(X) 18. 图示结构Q C影响线的CD段为斜直线。 19. 图示结构K断面的剪力影响线如图b所示。(√) 题19图 20. 用机动法作得图a所示Q B左结构影响线如图b。 题20图题21图 21. 图示结构a杆的内力影响线如图b所示 22. 荷载处于某一最不利位置时,按梁内各截面得弯矩值竖标画出得图形,称为简支梁的弯

数电大作业

数电作业 课程名称:数字电子技术基础课程时间:2015年秋 授课教师:康磊 学生姓名:XXX 学生班级: 学生学号:

联系电话: 哈尔滨工业大学英才学院 2015年12月 大作业一 一、设计目的

利用Verilog HDL设计一个电路,使其可以检测输入的一段由二进制数组成的序列,若序列中有连续的三个或者三个以上的1,则电路下一个时钟到来时输出为1,否则为0。状态转换图如图所示。 二、设计步骤 1、安装ISE14.2,并学会如何仿真。 2、根据状态图编写verilog程序。 3、仿真并生成仿真波形图。 4、保存项目并完成报告。 三、程序源代码 1、主程序 `timescale 1ns / 1ps module shudian1(clk,rst,din,out); input clk,rst,din; output out; reg[2:1] y, Y; reg out; parameter A=2'b00,B=2'b01,C=2'b10,D=2'b11; always @(posedge clk or negedge rst) begin if(!rst)y <= A;

elsey <= Y; end always@(y or din) begin case(y) A: begin out = 0; if(din) Y=B; else Y=A; end B: begin out = 0; if(din) Y=C; else Y=A; end C: begin out = 0; if(din) Y=D; else Y=A; end D: begin out = 1; if(din) Y=D; else Y=A; end default:begin out = 0; Y = A; end endcase end endmodule 2、测试程序 `timescale 1ns / 1ps module sudian11; // Inputs reg clk; reg rst; reg [20:0]data; assign din=data[20]; // Outputs wire out;

土力学期末试题及答案-2015

2015春季学期土力学( C )期末测试卷 班级:姓名:学号: 一、填空题(每题2分,共20分): 1.粉土、砂土和黏土的典型土结构分别是蜂窝结构、单粒结构、絮状结构。 2.灵敏度是衡量粘性土结构性强弱的指标,其定义是q u /q u /;该指标越大,土扰动后土的强度损失越大。 3.饱和和干细砂土不能形成直立边坡,一定含水量的细砂土则可以,是因为毛细水所形成的假黏聚力在起作用。 4.土的压缩模量越大,其压缩性越小。土的压缩系数越大,其压缩性越高。 5.地基土的总沉降量通常由三部分组成,即瞬时沉降、固结沉降和次固结沉降。 6.测管水头是压力水头和位置水头之和。 7.对同一土层,压缩试验获得的压缩模量,比现场试验所得变形模量要大。 8.临界水力梯度是土体发生 流土 的条件,它可表示为 i=r //r w 。 9.除了粒度组成而外,黏性土分类的主要指标是塑性指数。 10.某土的塑限限含水量为18%,液限含水量为46%,其天然含水量为30%,则该土处于 可塑 状态。 二、选择题(9题6分,其余每题3分,共30分) 1.对黏性土性质影响最大的是土中的( B )。 (A)强结合水 (B)弱结合水 (C)自由水 (D)毛细水 2.砂类土的重要特征是(D)。 (A)灵敏度与活动度(B)塑性指数与液性指数(C)饱和度与含水量(D)颗粒级配与密实度。 3.对土粒产生浮力的是( A )。 (A)重力水(B )毛细水 (B)弱结合水 (C)强结合水 4.室内侧限压缩试验测得的 e —P 曲线越平缓,则该土样的压缩性(C )。 (A)越均匀;(B )越不均匀;(C )越低 (D)愈高 5. 当地下水自下向上渗流时,土层中骨架应力如何变化 ( B ) (A)不变 (B)减小 (C)增大 6. 计算自重应力时,对地下水位以下的土层采用( A )。 (A)有效重度 (B)饱和重度 (C)天然重度 7. 已知A 矩形基础的宽b =2m ,长L =4m 和B 矩形基础的宽b =4m ,长L =8m ,二者的基底压力相等,则两基础角点下3米深度处竖向附加应力( C )。 (A )一样大 (B )A 基础角点下附加应力大 (C )B 基础角点下附加应力大 8. 下列哪个因素对土体渗透系数没有影响( D )? (A )粒径大小和级配 (B )孔隙比 (C )饱和度(D)土中水力梯度 9.一个高2cm 环刀试样,含水量40%,重度为18kN/m ,土粒比重2.0。在压缩仪中,荷载从零增至 100kPa ,土样压缩了1mm ,则压缩系数 a 为 (B) (A)0.5MPa -1(B)0.78MPa -1(C)9.95 MPa -1 00001 (1)/(1)(10.4) 2.011111/18/10 2.810.5561.8 1(1)0.556(10.556)0.47820 0.5560.4780.0780.781000.1v s s s w s s s s s i i V V V w m w d V e V V V m s e e e H e MPa p MPa ρρρρα--+++??= ==-=-=-=-=-==-+=-?+=?-====?

哈工大机械制造大作业

哈工大机械制造大作业

一、零件分析 题目所给的零件是CA6140车床的拨叉。它位于车床变速机构中,主要起换档,使主轴回转运动按照操作者的要求工作,获得所需的速度和扭矩的作用。零件上方的孔与操纵机构相连,二下方的Φ55叉口则是用于与所控制齿轮所在的轴接触,拨动下方的齿轮变速。 其生产纲领为批量生产,且为中批生产。

图1-1 CA6140拨叉零件图 二、零件的工艺分析 零件材料采用HT200,加工性能一般,在铸造毛坯完成后,需进行机械加工,以下是拨叉需要加工的表面以及加工表面之间的位置要求:

1、小头孔Φ25:该加工面为内圆面,其尺寸精度要求为; 2、叉口半圆孔Φ55:该加工面为内圆面,其尺寸精度要求为; 3、拨叉左端面:该加工面为平面,其表面粗糙度要求为,位置精度要求与内圆面圆心距离为; 4、叉口半圆孔两端面,表面粗糙度要求为,其垂直度与小头孔中心线的垂直度为; 5、拨叉左端槽口,其槽口两侧面内表面为平面,表面粗糙度要求为,其垂直度与小头孔中心线的垂直度为0.08mm。 6、孔圆柱外端铣削平面,加工表面是一个平面,其表面粗糙度要求为。 三、确定毛坯

1、确定毛坯种类: 零件材料为,查阅机械制造手册,有,考虑零件在机床运行过程中受冲击不大,零件结构又比较简单,故选择铸造毛坯。 图3-1 毛坯模型 2、毛坯特点: (1)性能特点: (2)结构特点:一般多设计为均匀壁厚,对于厚大断面件可采用空心结构。CA6140拨叉厚度较均匀,出现疏松和缩孔的概率低。 (3)铸造工艺参数: 铸件尺寸公差:铸件公称尺寸的两个允许极限尺寸之差成为铸件尺寸公差。成批和大量生产

数电大作业

子技术》大作业 电子技术基础是一门实践性很强的课程。数字电路大作业是在学完本门课程后,对所学知识的综合性考察。大作业分成两种形式:理论设计和实物制作,大家可以自由选择,要求附后。希望同学们借助教材、参考书以及互联网等,充分发挥想象力和创造力,认真完成本次大作业。 【理论设计要求】 1.每3人一组,完成其中一个题目。每人写出一份设计报告,而每组只提交一份报告,网上提交,完成时间截至第18周,逾期系统自动关闭。 2.鼓励有条件的同学应用Multisim或Orcad/Pspice等软件对所设计电路进行仿真。 3.不能使用单片机实现。 4.将对设计报告进行评定打分并作为平时成绩计入期末总成绩。 【实物制作要求】 1.制作出一个实用的电子电路,具体不限,能成功演示其功能。 2.一人或者两人一题。 3.写出简单的设计说明,并于网上提交。 4.将对实物制作情况评定打分并作为平时成绩计入期末总成绩。 【报告内容】 1. 目录 2. 设计目的及要求

工作原理、系统方框图 4. 各部分选定方案及电路组成、相关器件说明 5. 调试过程(如果没做实物,可免) 6. 设计结论 7 设计心得与总结(要具体落实到小组各成员) 8. 参考文献 9. 附录 附录一:元器件清单 附录二:总体设计图 附录三:仿真结果(可无) 附录四:小组各成员所做工作说明(设计者排序),每个成员对最终方案的贡献(哪个地方是谁设计的) 【理论设计题目】 NO.1 设计一个输血—受血判别电路,当输血者和受血者的血型符合相关规则,配型成功,受血者可以接受输血者提供的血液,用LED指示配型成功与否。 NO.2 循环彩灯电路

2003土力学期末考题(B)

西南交通大学2004—2005学年第一学期返校考试试卷 课 程:土力学 成 绩 班级 姓名 学号 一、解释或说明(每题3分,共计15分) 压缩模量 固结排水剪试验 欠固结土 极限荷载 主动土压力 二、单项选择题:(1—10题每题2分,11—15题每题3分,共计35分) 1. 下列粒组中,粒径最大的一组是 。 A. 粉粒 B. 砂粒 C. 粘粒 D. 角砾 2. 在粒径级配分析中,若mm 1.060=d ,则说明该土中粒径 0.1mm 的土粒质量占总质量的60%。 A 等于 B 小于 C 约等于 D 大于 3. 若s γ为颗粒重度,sat γ为土的饱和重度,'γ为土的浮重度,d γ为干重度,则有 A. 'γγγγ>>>d sat s B. 'γγγγ>>>d s sat C. d s sat γγγγ>>>' D. d sat s γγγγ>>>' 4. 在工程中,我们通常以指标 对粘性土进行分类。 A. L I B. P I C. L I 和P I D. P I 和w 5. 指标 不是用以描述砂土物理状态的。 A. 相对密实度D r B. 孔隙比e C. 液性指数L I D. 标贯数N 6. 设地基中的由自重产生的竖向应力为z p ,由作用在地表的矩形均布荷载在地基中产生的竖向附加应力为z σ,则在矩形中心点以下,随着深度的增加 A. z p 增大,z σ减小 B. z p 增大,z σ不变

C. z p 不变,z σ减小 D. z p 不变,z σ增大 7. 砂土直剪试验时,剪切破坏面上的 最大。 A. 正应力 B. 正应力与剪应力之比 C. 剪应力 D. 剪应力与正应力之比 8. 在均匀的地基上同时修建上部结构及(浅埋)基础形式、埋深等均完全相同的两座相距很近的建筑,则由于附加应力的影响,两座建筑将 。 A. 均匀下沉 B. 不均匀下沉,且均向内倾斜 C. 不均匀下沉,且均向外倾斜 D. 不均匀下沉,且向同一方向倾斜 9. 指标灵敏度所反映的是粘性土的 。 A. 强度 B. 结构性 C. 密实程度 D. 可塑性 10. 若砂土简单土坡的坡角为β,则土坡处于稳定状态的判断条件为 。 A. ?β> B. ?β< C. ?β= D. ?β≥ 11. 某种粘性土,测得其塑限%18=P w ,塑性指数I P =12,若含水量w =24%,则该土所处的状态为 。 A. 液态 B. 半固态 C. 固态 D. 可塑态 12. 底面边长为3m 的正方形基础上作用一竖向力,若其基底的最大、最小压应力分别为400kPa 和100kPa ,则可推出该力的偏心距(单向偏心)为 m 。 A. 0.20 B. 0.30 C. 0.35 D. 0.40m 13. 图示矩形均布荷载为p ,则A 、B 两点以下3m 处竖向附加应力之比zB zA σσ/为 。(应力系数表附在最后) A. 1.030 B. 1.130 C. 1.135 D. 1.492

机械制造装备设计大作业指导书2013

机械制造装备设计大作业指导书 2013年3月

目录 1.机械制造装备设计大作业的目的 (1) 2.机械制造装备设计大作业的内容 (1) 2.1运动设计 (1) 2.2动力设计 (1) 3.机械制造装备设计大作业的要求 (1) (1)机床的规格及用途; (1) (2)运动设计; (1) (3)动力设计(包括零件及组件的初算); (1) (4)画传动系统图; (1) (5)其它需要说明或论证的问题; (1) (6)参考文献。 (1) 4.进行机械制造装备设计大作业的步骤和方法 (1) 4.1明确题目要求、查阅有关资料 (1) 4.2运动设计 (2) (1)确定极限转速 (2) (2)确定公比 (2) (3)求出主轴转速级数z (2) (4)确定结构网或结构式 (2) (5)绘制转速图 (2) (6)绘制传动系统图 (3) (7)确定变速组齿轮传动副的齿数 (3) (8)核算主轴转速误差 (4) 4.3动力设计 (4) 1.传动轴直径初定 (5) 2.主轴轴颈直径的确定 (5) 3.齿轮模数的初步计算 (5) 5.大作业题目 (7) 参考文献 (10)

1.机械制造装备设计大作业的目的 机械制造装备设计大作业,是机械制造装备设计课程进行过程中的一个重要教学环节。其目的在于通过机床主传动系统设计,使学生进一步理解设计理论,得到设计构思、方案分析、零件计算、查阅技术资料等方面的综合训练,树立正确的设计思想,掌握基本的设计方法,培养学生具有初步机械系统设计和计算能力。 2.机械制造装备设计大作业的内容 运动设计 根据给定的机床用途、规格、极限速度、转速数列公比(或转速级数),分析比较拟定传动结构方案(包括结构式和结构网,转速图)和传动系统图,确定传动副的传动比及齿轮的齿数,并计算主轴的实际转速与标准转速的相对误差。 动力设计 根据给定的电动机功率和传动件的计算转速,初步计算传动轴直径、齿轮模数;确定皮带类型及根数、摩擦片式离合器的尺寸和摩擦片数及制动器尺寸、选择机床主轴结构尺寸。 3.机械制造装备设计大作业的要求 (1)机床的规格及用途; (2)运动设计; (3)动力设计(包括零件及组件的初算); (4)画传动系统图; (5)其它需要说明或论证的问题; (6)参考文献。 机械装备设计大作业篇幅不少于四千字。要求论证充分、计算正确、叙述简明、条理清楚、合乎逻辑、词句通顺、标点正确、文字工整、图表清晰。 大作业中所用公式应注明出处,并注明式中符号所代表的意义和单位。单位一律采用法定单位,单位符号在公式、计算结果、图表、数据、标牌中应优先采用单位符号。 大作业后须附有参考文献目录,包括作者、书刊名称、出版社和出版年份。在说明书中引用所列的参考文献时,只在方括号里注明所列文献序号即可。 4.进行机械制造装备设计大作业的步骤和方法 明确题目要求、查阅有关资料 学生在获得大作业的题目之后,首先应明确设计任务,并阅读《械装备设计大作业指导书》,了解大作业的目的、内容、要求和进行的步骤。然后在教师的指导下,拟定工作进度计划;查阅必要的图书、杂志、手册、图册、产品图纸、同类型机床说明书和其它有关设计参考资料;熟悉机床专业标准,便于设计时采用。对机床的用途、特点、主要参数、传动结

哈工大2011年大学物理试题

大学物理期末考题(A) 2003年1月10日 得分__________ 班级_________姓名_________学号___________ 序号____________ 注意:(1)共三张试卷。(2)填空题★空白处写上关键式子,可参考给分。计算题要排出必要的方程,解题的关键步骤,这都是得分和扣分的依据。(3)不要将订书钉拆掉。(4)第4、5页是草稿纸。 一、选择题 1、在宽度a =0.05mm 的狭缝后置一焦距f 为0.8m 的透镜, 有一屏幕处在透镜的焦平面上,如图所示。现将某单色光垂直照射在单缝上,在屏幕上形成单缝衍射条纹,试问:若在离中央明条纹上方x =1.6cm 的P 处恰为暗条纹,则该光的波长约为 (a) 450nm (b) 500nm (c) 550nm (d) 600nm _____________ 1、在宽度a =0.05mm 的狭缝后置一焦距f 为0.8m 的透镜,有一屏幕处在透镜的焦平面上,如图所示。现将某单色光垂直照射在单缝上,在屏幕上形成单缝衍射条纹,试问:若在离中央明条纹上方x =1.6cm 的P 处恰为暗条纹,则该光的波长约为 (a) 450nm (b) 500nm (c) 550nm (d) 600nm 选_____B ______ λ θθk a f x ==sin kf ax = ?λ 2、在牛顿环实验中,观察到的牛顿环的干涉圆环形条纹第9级明条纹所占的面积与第16级明条纹所占的面积之比约为 (a) 9/16 (b) 3/4 (c) 1/1 (d) 4/3 (e) 16/9 选_____________ 2、在牛顿环实验中,观察到的牛顿环的干涉圆环形条纹第9级明条纹所占的面积与第16级明条纹所占的面积之比约为 (a) 9/16 (b) 3/4 (c) 1/1 (d) 4/3 (e) 16/9 选_____C ______ 明:2 ) 12(λ -= k R r , 暗:λRk r = , λπR S S k k =-+1 3、用频率为ν的单色光照射某金属时,逸出光电子的动能为k E ,若改用频率 2ν的单色光照射该金属时,则逸出光电子的动能为 (a )k E 2 (b) k E h -ν (c) k E h +ν (d) k E h -ν2 选_____________

相关主题
文本预览
相关文档 最新文档