当前位置:文档之家› 直流电机控制设计

直流电机控制设计

直流电机控制设计
直流电机控制设计

河南科技大学

课程设计说明书

课程名称现代电子系统课程设计题目直流电机控制设计

学院电子信息工程学院

班级电子信息科学与技术062班学生姓名****

指导教师齐晶晶,张雷鸣

日期___2010年1月10号____

摘要

使用直流电源的电机叫做直流电机。只要把直流电机的端子接到直流电源上就可以简单使其运转。直流电机是一种具有优良控制特性的电机。因此,在角位移控制和速度控制的伺服系统中有着广泛的应用。为了调整直流电机的转速和输出转矩,可以采用改变电枢直流电压的方法来实现,主要的控制方法有线性控制方式和PWM(脉宽调制)控制方式。一般小功率电机平滑转速控制常采用线性控制方式,而大功率电机高效控制时,则常使用PWM控制方式。本文介绍的是利用FPGA实现PWM脉宽调制信号的产生和相应的用数字电路的方法实现的换档、正反向控制等。直流电机的转动速度调节则归结于对驱动脉宽的占空比的调节上,通过调节占空比而改变单位时间内直流电机的通电时间长短,即改变了电机的转速。转动方向可用功率放大电路和H 桥组成的正反向功率驱动电路来实现

直流电机控制电路主要由五部分组成:

●PWM脉宽调制信号产生电路:主要功能是产生pwm信号,并控制转速。

●FPGA中正/反转方向控制:用2选1数据选择器控制电机的pwm信号的输入端,从而实现正反转。

●由功率放大电路和H桥组成的正反转功率驱动电路:

●分频和去抖电路模块:通过两个维持阻塞D触发器实现消抖。

●测量转速模块:通过红外线测量电机每转一周产生的脉冲实现转速测量。

关键词:速度调节、旋转方向控制、去抖动电路、数字显示转速、PWM、占空比、FPGA

一.任务解析 (2)

二. 系统方案论证 (2)

2.1总体方案与比较论证 (3)

2.2系统原理与结构 (3)

三. 电机正反转模块设计 (4)

3.1设计方案论证 (4)

3.2方案的实现 (4)

四.PWM脉宽信号产生模块设计 (5)

4.1锯齿波的产生 (5)

4.2参考电压选择电路 (6)

4.3通过比较器产生PWM波形 (7)

4.4 PWM波形发生器的搭接及仿真 (8)

五. 实际转速测量模块 (9)

5.1设计方案及实现 (9)

六. 总结 (16)

七. 参考文献: (16)

一.任务解析

利用PWM控制技术实现直流电机的速度控制。

(1)速度调节:4档,直流电机的速度是靠调节PWM信号的占空比来调节的,建一个PWM模块来调节电机的转速。

(2)电机的旋转方向控制:电机的旋转方向可以用二选一数据选择器来控制正反转。

(3)通过红外光电电路测得电机的转速,设计频率计用4位10进制显示电机的转速。

参考频率

直流电机控制原理框图

二.系统方案论证

2.1 总体方案与比较论证

方案一:采用单片机产生pwm波形进而实现电路控制

如果采用CPU控制产生PWM信号,一般的PWM信号是通过模拟比较器产生的,比较器的一端接给定的参考电压,另一端接周期性线性增加的锯齿波电压。当锯齿波电压小于参考电压时输出低电平,当锯齿波电压大于参考电压时输出高电平。改变参考电压就可以改变PWM波形中高电平的宽度。若用单片机产生PWM波形,需要通过D/A转换器产生锯齿波电压和设置参考电压,通过外接模拟比较器输出PWM波形,因此外围电路比较复杂。

方案二:采用FPGA和数字电路控制

FPGA中的PWM控制与一般的模拟PWM控制不同。用FPGA产生PWM波形,只要FPGA内部资源就可以实现。用数字比较器代替模拟比较器,数字比较器的一端接设定值计数器输出,另一端接线性递增计数器输出。与模拟控制相比,省去了外接的D/A转换器和模拟比较器,FPGA外部连线很少、电路更加简单、便于控制。脉宽调制式细分驱动电路的关键式脉宽调制,转速的波动随着PWM 脉宽细分的增大而减小。

我们最终选择第二种,用FPGA实现PWM控制,无需外接D/A转换器,使外围控制电路大大简化,控制方式简洁。控制精度高,控制效果好。用单片机和DSP的控制都难以达到同样的控制效果

2.2系统原理与结构

2.2.1系统结构

FPGA直流电机驱动控制电路原理图

设定值计数器设置PWM信号的占空比。当U/D=1时,输入CLK2,使设定计数器的输出值增加,PWM的占空比增加,电机转速加快; 当U/D=0时,输入CLK2,是设定的计数器输出值减小,PWM的占空比减小,电机转速变慢。在CLK0的作用下,锯齿波计数器输出周期性线性增加的锯齿波。当计数值小于设定值时,数字比较器输出低电平;当计数值大于设定值时,数字比较器输出高电平,由此产生周期性的PWM波形。旋转方向控制电路控制直流电机转向和启/停,该电路由两个2选1多路选择器组成,Z/F键控制选择PWM 波形从正端Z进入H桥,以控制电机的旋转方向。START键通过“与”门控制PWM的输出,实现对电机的工作/停止控制。H桥电路由大功率晶体管组成,PWM波形通过方向控制电路送到H桥,经功率放大以后驱动电机转动。

三. 电机正反转模块设计

3.1设计方案论证

正反转方向的选择究其根本就是选通问题:当把PWM信号选通到正转的H桥输入端时,电机正转(但同时要保证反转输入端接入低电平);反之亦然。在数字电路设计中,进行选通设计用到最多的是二选一数据选择器。把PWM信号接到两个二选一选择器的互逆的端口上,就可以保证一次只有一个H桥端口有PWM信号输入;另一对互逆的端口上接低电平,则又保证了不工作的H桥端口始终为低电平。

3.2方案实现及仿真

正反转选择电路的搭接如下图所示:

该电路的波形仿真如下图:

结果分析:由图所示,当Z_F=‘1’时选通F,Z_F=‘0’时选通Z。

四、P WM脉宽调制信号产生模块设计

4.1锯齿波的产生

由于采用数字电路产生锯齿波,其实质相当于对一个已知的锯齿波进行细分并对每一个细分出来的电压值进行二进制数值编码。本设计采用对锯齿波进行16细分的方法,即要对每个细分值进行四位二进制数编码:从0000到1111,代表锯齿波从0V到峰值之间的电压值(显然,若进行更高分辨率的细分则能更精确的表示出锯齿波)。

以下是锯齿波发生器的实现模块及其相应的VHDL源代码:

LIBRARY IEEE; -- 4进制计数器

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT5 IS

PORT ( CLK : IN STD_LOGIC;

AA : OUT STD_LOGIC_VECTOR(4 DOWNTO 1));

END CNT5;

ARCHITECTURE behav OF CNT5 IS

SIGNAL CQI : STD_LOGIC_VECTOR(4 DOWNTO 0);

BEGIN

PROCESS(CLK)

BEGIN

IF CLK'EVENT AND CLK = '1' then CQI <= CQI + 1; END IF;

END PROCESS;

AA <= CQI(4 DOWNTO 1);

END behav;

该锯齿波发生器的仿真波形如下

结果分析:由上面的波形图可以看出,四进制计数器随时钟依次自增一,当计数记满后又回到初始状态,实际完成的就是一个周期的锯齿波的采样编码后的输出。

4.2 参考电压选择电路

该电路的元件符号和相应的VHDL源代码如下:

VHDL源代码:

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL ;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY DECD IS

PORT ( CLK : IN STD_LOGIC;

DSPY : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ;

D : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ) ;

END ;

ARCHITECTURE one OF DECD IS

SIGNAL CQ : STD_LOGIC_VECTOR(1 DOWNTO 0); - -定义一个计数器 BEGIN

PROCESS( CQ )

BEGIN

CASE CQ IS - -由计数器CQ的变化赋给输出相应的电压值作为参考电压

WHEN "00" => D <= "0100" ;

WHEN "01" => D <= "0111" ;

WHEN "10" => D <= "1011" ;

WHEN "11" => D <= "1111" ;

WHEN OTHERS => NULL ;

END CASE ;

END PROCESS ;

PROCESS(CLK)

BEGIN

IF CLK'EVENT AND CLK = '1' then CQ <= CQ + 1;

END IF; - -手动控制CLK,每次CLK出现上升沿则计数器自加一

END PROCESS;

DSPY<=CQ; - -把计数器的两位接到两盏LED灯上,实现档位显示

END ;

以下是该元件的仿真波形:

结果分析:通过仿真波形可以看出,CLK每有一个上升沿,输出的四位二进制数就变化依次,变化顺序如源代码中所写。同时计数器的数值显示到LED 灯的端口上,用于显示此时所处的档位。

4.3通过比较器产生PWM波形

现在,锯齿波和参考电压都已经得到,下一步就是通过电压的大小比较产生一定占空比的PWM波形。由于锯齿波电压和参考电压都是一组四位的二进制编码,故使用一个两输入的四位数字比较器就可完成比较任务:当锯齿波电压小于参考电压时,比较器输出低电平;高于参考电压时,比较器输出高电平。

比较器的元件符号如下:

其中,dataa接参考电压端,datab接锯齿波电压端:当锯齿波电压小于参考电压时,agb输出‘1’,当锯齿波大于参考电压时,输出‘0’。这时形成的逻辑是:当dataa为较小数时,只有在锯齿波刚有电压值的一个短暂时间内,比较器输出高电平,其余时间都是低电平,占空比小,进而电机转动慢;当dataa为较大数时,在锯齿波很长一段时间内一直都比参考电压小,则一直输出高电平,占空比大,进而电机转动快。

4.4 PWM波形发生器的搭接

综上,用以上得到的元件搭接成可调档PWM波形发生器如下图:

PWM波形生成如下图:

结果分析:由波形图可明显看出,随D_STP不断产生上升沿,PWM波形高电平的占空比依次从小到大重复变化。由直流电机的原理可知,当这样的电压驱动电机转动时,占空比大的单位时间内驱动电机转动时间长,即电机转的快;反之亦然。

五.转速频率测量模块

轮机转动时,每转一周,位于轮机上方的红外线测速仪测得一个脉冲。靠统计每秒钟发出脉冲的个数,即可得到轮机的转速(转/秒)。由此可见,转速测量器显示的是每秒钟的圈数,实质上是一个频率计。

5.1 设计方案实现

频率计:由计数,锁存,分频三部分组成

分频器:

Library ieee;

Use ieee.std_logic_unsigned.all;

Use ieee.std_logic_1164.all;

Entity fenpinqi is

Port ( clkk: in std_logic;

cnt_en: out std_logic;

load: out std_logic;

rst_cnt: out std_logic);

end fenpinqi;

architecture behav of fenpinqi is

signal div2clk :std_logic;

begin

process(clkk)

begin

if clkk'event and clkk='1' then

div2clk<=not div2clk;

end if;

end process;

process(clkk,div2clk)

begin

if clkk='0' and div2clk='0' then rst_cnt<='1';

else rst_cnt<='0';

end if;

end process;

load<=not div2clk;

cnt_en<=div2clk;

end behav;

相应的波形仿真如下图:

锁存部分:

Library ieee;

Use ieee.std_logic_1164.all;

Entity reg16 is

Port (load: in std_logic;

din: in std_logic_vector(15 downto 0);

dout: out std_logic_vector(15 downto 0)); end reg16;

architecture behav of reg16 is

begin

process(load,din)

begin

if load'event and load='1' then

dout<=din;

end if;

end process;

end behav;

其波形仿真图如下图所示:

由图可见,每个周期输入和输出相同

计数部分:

Library ieee;

Use ieee.std_logic_unsigned.all;

Use ieee.std_logic_1164.all;

Entity cnt10 is

Port (clk,clr,ena: in std_logic;

cq: out std_logic_vector(3 downto 0); cout: out std_logic);

end cnt10;

architecture behav of cnt10 is

begin

process(clk,clr,ena)

variable cqi: std_logic_vector(3 downto 0); begin

if clr='1' then cqi:=(others=>'0');

elsif clk'event and clk='1' then

if ena='1' then

if cqi<9 then cqi:=cqi+1;

else cqi:=(others=>'0');

end if;

end if;

end if;

if cqi=9 then cout<='1';

else cout<='0';

end if;

cq<=cqi;

end process;

end behav;

下图是计数器的波形仿真:

下面是整个频率计的例化程序:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity frequency is

port( f_in : in std_logic;

clk : in std_logic;

dout : out std_logic_vector(15 downto 0); carry_out : out std_logic );

end frequency;

architecture behav of frequency is

component cnt10

port(clk,clr,ena : in std_logic;

cq : out std_logic_vector(3 downto 0); cout : out std_logic);

end component;

component fenpinqi

port( clkk : in std_logic;

cnt_en : out std_logic;

rst_cnt : out std_logic;

load : out std_logic);

end component;

component reg16

port( load : in std_logic;

din : in std_logic_vector(15 downto 0);

dout : out std_logic_vector(15 downto 0));

end component;

signal cq1,cq2,cq3,cq4 : std_logic_vector(3 downto 0);

signal cq5 : std_logic_vector(15 downto 0);

signal ena1 : std_logic;

signal clr1 : std_logic;

signal cout1,cout2,cout3 : std_logic;

signal load1 : std_logic;

begin

u1 : cnt10 port map(clk=>f_in,clr=>clr1,ena=>ena1,

cq=>cq1,cout=>cout1);

u2 : cnt10 port

map(clk=>cout1,clr=>clr1,ena=>ena1,cq=>cq2,cout=>cout2);

u3 : cnt10 port

map(clk=>cout2,clr=>clr1,ena=>ena1,cq=>cq3,cout=>cout3);

u4 : cnt10 port

map(clk=>cout3,clr=>clr1,ena=>ena1,cq=>cq4,cout=>carry_out);

u5 : fenpinqi port

map(clkk=>clk,cnt_en=>ena1,rst_cnt=>clr1,load=>load1);

u6 : reg16 port map(load=>load1,dout=>dout,din=>cq5); cq5(15 downto 12)<=cq4(3 downto 0);

cq5(11 downto 8)<=cq3(3 downto 0);

cq5(7 downto 4)<=cq2(3 downto 0);

cq5(3 downto 0)<=cq1(3 downto 0);

end;

频率计仿真波形图:

由图可见,在f_in端接入电机上的红外线脉冲信号,clk接为1Hz,即可测

得转速

下图是全部连接后的电路图:

其中又包括了一个四分频电路和去抖电路。

六.心得体会

通过这次的课程设计使我对现代电子系统设计的内容和方法有了更直接、更深刻的了解,学到了受益终身的思维方法和科学严谨的行为方式。经过两周的准备和调试基本实现了设计要求。

在这次设计中也遇到一些问题,以前只是将eda课本中的原理介绍进行了大致的了解,但对具体模块的工作原理还不甚了解,比如,对pwm波形控制电机速度的原理还有欠缺,没有理解占空比和电机速度的关系。通过老师的提示和指导,明白了电机的转速与单位时间内电机的通电时间有关,继而与pwm 波形的占空比有关。在解决了这一问题之后,在试验结果中发现驱动电机的频率不是越大越好,而是与电机转子的固有频率有关。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。

七参考文献

EDA技术实用教程潘松,黄继业编著北京:科学出版社2006

现代电子系统设计何小艇杭州:浙江大学出版社2008

直流电机转速控制

直流电机转速控制公司标准化编码 [QQX96QT-XQQB89Q8-NQQJ6Q8-MQM9N]

直流电机转速控制 课程设计 姓名: 学号: 班级:

目录 1.直流电机转速控制方案设计 (2) 设计要求 (2) 设计框图 (2) 2.直流电机转速控制硬件设计 (3) 主要器件功能 (3) 硬件原理图 (6) 3.直流电机转速控制软件设计 (7) 4.调试 (8) 硬件测试 (8) 软件调试……………………………………………………………(11

1.直流电机转速控制方案设计 设计要求 通过设计了解如何运用电子技术来实现直流电机转速控制,完成直流电机转向和转速的控制,提高分析电路设计、调试方面问题和解决问题的能力。 1、用按键1控制旋转方向,实现正转和反转。 2、电机的设定转速与电机的实际转速在数码管上显示。 3、旋转速度可实时改变。 设计框图 本课题中测量控制电路组成框图如下所示:

图1 2.直流电机转速控制硬件设计 主要器件功能 1、L298N 是专用驱动集成电路,属于H 桥集成电路,与L293D 的差别是其输出电流增大,功率增强。其输出电流为2A,最高电流4A,最高工作电压50V,可以驱动感性负载,如大功率直流电机,步进电机,电磁阀等,特别是其输入端可以与单片机直接相联,从而很方便地受单片机控制。当驱动直流电机时,可以直接控制步进电机,并可以实现电机正转与反转,实现此功能只需改变输入端的逻辑电平。此外可能通过使能端的高低电平的变换,从而使电机通断,来控制电机的转速。 图2 板上的EN1 与EN2 为高电平时有效,这里的电平指的是TTL 电平。EN1 为IN1 和IN2 的使能端,EN2为IN3 和IN4 的使能端。POWER 接直流电源,注意正负,电源正端为VCC,电源地为GND。 2、ZLG7290的核心是一块ZLG7290B芯片,它采用I2C接口,能直接驱动8位共阴式数码管,同时可扫描管理多达64只按键,实现人机对话的功能资源十分丰富。除具有自动消除抖动功能外,它还具有段闪烁、段点亮、段熄灭、功

PWM控制直流电机的系统的设计

电力电子与电机拖动综合课程设计 题目: PWM控制直流电机的系统 专业: 05自动化 学号: 200510320219 姓名:张建华 完成日期: 指导教师:李晓高

电力电子与电机拖动综合课程设计任务书 班级:自动化05 姓名:张建华指导老师:2008年6月10日 年月日

目录

1 引言 直流电机由于具有速度控制容易,启、制动性能良好,且在宽范围内平滑调速等特点而在冶金、机械制造、轻工等工业部门中得到广泛应用。直流电动机转速的控制方法可分为两类,即励磁控制法与电枢电压控制法。励磁控制法控制磁通,其控制功率虽然小,但低速时受到磁饱和的限制,高速时受到换向火花和换向器结构强度的限制;而且由于励磁线圈电感较大,动态响应较差。所以常用的控制方法是改变电枢端电压调速的电枢电压控制法。调节电阻R即可改变端电压,达到调速目的。但这种传统的调压调速方法效率低。随着电力电子技术的进步,发展了许多新的电枢电压控制方法,其中PWM(脉宽调制)是常用的一种调速方法。其基本原理是用改变电机电枢(定子)电压的接通和断开的时间比(占空比)来控制马达的速度,在脉宽调速系统中,当电机通电时,其速度增加;电机断电时,其速度减低。只要按照一定的规律改变通、断电的时间,即可使电机的速度达到并保持一稳定值。最近几年来,随着微电子技术和计算机技术的发展及单片机的广泛应用,使调速装置向集成化、小型化和智能化方向发展。 本电机调速系统采用脉宽调制方式, 与晶闸管调速相比技术先进, 可减少对电源的污染。为使整个系统能正常安全地运行, 设计了过流、过载、过压、欠压保护电路, 另外还有过压吸收电路。确保了系统可靠运行。 2 系统概述 2.1 系统构成 本系统主要有信号发生电路、PWM速度控制电路、电机驱动电路等几部分组成。整个系统上采用了转速、电流双闭环控制结构,如图1所示。在系统中设置两个调节器,分别调节转速和电流,二者之间实行串级连接,即以转速调节器

直流电机PWM调速与控制设计报告

综合设计报告 单位:自动化学院 学生姓名: 专业:测控技术与仪器 班级:0820801 学号: 指导老师: 成绩: 设计时间:2011 年12 月 重庆邮电大学自动化学院制

一、题目 直流电机调速与控制系统设计。 二、技术要求 设计直流电机调速与控制系统,要求如下: 1、学习直流电机调速与控制的基本原理; 2、了解直流电机速度脉冲检测原理; 3、利用51单片机和合适的电机驱动芯片设计控制器及速度检测电路; 4、使用C语言编写控制程序,通过实时串口能够完成和上位机的通信; 5、选择合适控制平台,绘制系统的组建结构图,给出完整的设计流程图。 6、要求电机能实现正反转控制; 7、系统具有实时显示电机速度功能; 8、电机的设定速度由电位器输入; 9、电机的速度调节误差应在允许的误差范围内。 三、给定条件 1、《直流电机驱动原理》,《单片机原理及接口技术》等参考资料; 2、电阻、电容等各种分离元件、IC、直流电机、电源等; 3、STC12C5A60S2单片机、LM298以及PC机; 四、设计 1. 确定总体方案; 2. 画出系统结构图; 3. 选择以电机控制芯片和单片机及速度检测电路,设计硬件电路; 4. 设计串口及通信程序,完成和上位机的通信; 5. 画出程序流程图并编写调试代码,完成报告;

直流电机调速与控制 摘要:当今社会,电动机作为最主要的机电能量转换装置,其应用范围已遍及国民经济的各个领域和人们的日常生活。无论是在工农业生产,交通运输,国防,航空航天,医疗卫生,商务和办公设备中,还是在日常生活的家用电器和消费电子产品(如电冰箱,空调,DVD等)中,都大量使用着各种各样的电动机。据资料显示,在所有动力资源中,百分之九十以上来自电动机。同样,我国生产的电能中有百分之六十是用于电动机的。电动机与人的生活息息相关,密不可分。电气时代,电动机的调速控制一般采用模拟法、PID控制等,对电动机的简单控制应用比较多。简单控制是指对电动机进行启动,制动,正反转控制和顺序控制。这类控制可通过继电器,光耦、可编程控制器和开关元件来实现。还有一类控制叫复杂控制,是指对电动机的转速,转角,转矩,电压,电流,功率等物理量进行控制。 本电机控制系统基于51内核的单片机设计,采用LM298直流电机驱动器,利用PWM 脉宽调制控制电机,并通过光耦管测速,经单片机I/O口定时采样,最后通过闭环反馈控制系统实现电机转速的精确控制,其中电机的设定速度由电位器经A/D通过输入,系统的状显示与控制由上位机实现。经过设计和调试,本控制系统能实现电机转速较小误差的控制,系统具有上位机显示转速和控制电机开启、停止和正反转等功能。具有一定的实际应用意义。关键字:直流电机、反馈控制、51内核、PWM脉宽调制、LM298 一、系统原理及功能概述 1、系统设计原理 本电机控制系统采用基于51内核的单片机设计,主要用于电机的测速与转速控制,硬件方面设计有可调电源模块,串口电路模块、电机测速模块、速度脉冲信号调理电路模块、直流电机驱动模块等电路;软件方面采用基于C语言的编程语言,能实现系统与上位机的通信,并实时显示电机的转速和控制电机的运行状态,如开启、停止、正反转等。 单片机选用了51升级系列的STC12c5a60s2作为主控制器,该芯片完全兼容之前较低版本的所有51指令,同时它还自带2路PWM控制器、2个定时器、2个串行口支持独立的波特率发生器、3路可编程时钟输出、8路10位AD转换器、一个SPI接口等,

直流电机驱动电路设计

直流电机驱动电路设计 一、直流电机驱动电路的设计目标 在直流电机驱动电路的设计中,主要考虑一下几点: 1. 功能:电机是单向还是双向转动?需不需要调速?对于单向的电机驱动,只要用一个大功率三极管或场效应管或继电 器直接带动电机即可,当电机需要双向转动时,可以使用由4个功率元件组成的H桥电路或者使用一个双刀双掷的继电器。 如果不需要调速,只要使用继电器即可;但如果需要调速,可以使用三极管,场效应管等开关元件实现PWM(脉冲宽度调制)调速。 2. 性能:对于PWM调速的电机驱动电路,主要有以下性能指标。 1)输出电流和电压范围,它决定着电路能驱动多大功率的电机。 2)效率,高的效率不仅意味着节省电源,也会减少驱动电路的发热。要提高电路的效率,可以从保证功率器件的开关工作状态和防止共态导通(H桥或推挽电路可能出现的一个问题,即两个功率器件同时导通使电源短路)入手。 3)对控制输入端的影响。功率电路对其输入端应有良好的信号隔离,防止有高电压大电流进入主控电路,这可以用高的输入阻抗或者光电耦合器实现隔离。 4)对电源的影响。共态导通可以引起电源电压的瞬间下降造成高频电源污染;大的电流可能导致地线电位浮动。 5)可靠性。电机驱动电路应该尽可能做到,无论加上何种控制信号,何种无源负载,电路都是安全的。 二、三极管-电阻作栅极驱动

1.输入与电平转换部分: 输入信号线由DATA引入,1脚是地线,其余是信号线。注意1脚对地连接了一个2K欧的电阻。当驱动板与单片机分别供电时,这个电阻可以提供信号电流回流的通路。当驱动板与单片机共用一组电源时,这个电阻可以防止大电流沿着连线流入单片机主板的地线造成干扰。或者说,相当于把驱动板的地线与单片机的地线隔开,实现“一点接地”。 高速运放KF347(也可以用TL084)的作用是比较器,把输入逻辑信号同来自指示灯和一个二极管的2.7V基准电压比较,转换成接近功率电源电压幅度的方波信号。KF347的输入电压范围不能接近负电源电压,否则会出错。因此在运放输入端增加了防止电压范围溢出的二极管。输入端的两个电阻一个用来限流,一个用来在输入悬空时把输入端拉到低电平。 不能用LM339或其他任何开路输出的比较器代替运放,因为开路输出的高电平状态输出阻抗在1千欧以上,压降较大,后面一级的三极管将无法截止。 2.栅极驱动部分: 后面三极管和电阻,稳压管组成的电路进一步放大信号,驱动场效应管的栅极并利用场效应管本身的栅极电容(大约 1000pF)进行延时,防止H桥上下两臂的场效应管同时导通(“共态导通”)造成电源短路。 当运放输出端为低电平(约为1V至2V,不能完全达到零)时,下面的三极管截止,场效应管导通。上面的三极管导通,场效应管截止,输出为高电平。当运放输出端为高电平(约为VCC-(1V至2V),不能完全达到VCC)时,下面的三极管导通,场效

PWM控制直流电机调速

毕业设计论文PWM控制直流电机调速 绪论 脉宽调制(PWM)控制技术,是利用半导体开关器件的导通和关断,把直流电压变成电压脉冲序列,并控制电压脉冲的宽度和脉冲序列的周期以达到变压变频目的的一种控制技术。PWM控制技术广泛地应用于开关稳压电源,不间断电源(UPS),以及交直流电动机传动等领。本文阐述了PWM变频调速系统的基本原理和特点,并在此基础上给出了一种基于Mitel SA866DE三相PWM波形发生器和绝缘栅双极功率晶体管(IGBT)的变频调速设计方案。直流电动机具有优良的调速特性,调速平滑、方便,调速范围广;过载能力大,能承受频繁的冲击负载,可实现频繁的无级快速起动、制动和反转;能满足生产过程自动化系统各种不同的特殊运行要求,在许多需要调速或快速正反向的电力拖动系统领域中得到了广泛的应用。 直流电动机的转速调节主要有三种方法:调节电枢供电的电压、减弱励磁磁通和改变电枢回路电阻。针对三种调速方法,都有各自的特点,也存在一定的缺陷。例如改变电枢回路电阻调速只能实现有级调速,减弱磁通虽然能够平滑调速,但这种方法的调速范围不大,一般都是配合变压调速使用。所以,在直流调速系统中,都是以变压调速为主。其中,在变压调速系统中,大体上又可分为可控整流式调速系统和直流PWM调速系统两种。直流PWM调速系统与可控整流式调速系统相比有下列优点:由于PWM调速系统的开关频率较高,仅靠电枢电感的滤波作用就可获得平稳的直流电流,低速特性好,稳速精度高,调速范围宽,可达1:10000左右;同样,由于开关频率高,快速响应特性好,动态抗干扰能力强,可以获得很宽的频带;开关器件只工作在开关状态,主电路损耗小,装置效率高;直流电源采用不控整流时,电网功率因数比相控整流器高。 正因为直流PWM调速系统有以上的优点,并且随着电力电子器件开关性能的不断提高,直流脉宽调制( PWM) 技术得到了飞速的发展。传统的模拟和数字电路PWM已被大规模集成电路所取代,这就使得数字调制技术成为可能。目前,在该领域中大部分应用的是数字脉宽调制器与微处理器集为一体的专用控制芯片, 如TI公司生产的TMS320C24X系列芯片。电动机调速系统采用微机实现数字化控制,是电气传动发展的主要方向之一。采用微机控制后,整个调速系统实现全数字化,结构简单,可靠性高,操作维护方便,电动机稳态运转时转速精度可达到较高水平,静动态各项指标均能较好地满足工业生产中高性能电气传动的要求。

直流电机控制系统设计

直流电机控制系统设计

XX大学 课程设计 (论文) 题目直流电机控制系统设计 班级 学号 学生姓名 指导教师

沈阳航空航天大学 课程设计任务书 课程名称专业基础课程设计 院(系)自动化学院专业测控技术与仪器 班级学号姓名 课程设计题目直流电机控制系统设计 课程设计时间: 2012年7 月9 日至2012年7 月20 日 课程设计的内容及要求: 1.内容 利用51单片机开发板设计并制作一个直流电机控制系统。系统能够实时控制电机的正转、反转、启动、停止、加速、减速等。 2.要求 (1)掌握直流电机的工作原理及编程方法。 (2)掌握直流电机驱动电路的设计方法。 (3)制定设计方案,绘制系统工作框图,给出系统电路原理图。 (4)用汇编或C语言进行程序设计与调试。 (5)完成系统硬件电路的设计。 (6)撰写一篇7000字左右的课程设计报告。 指导教师年月日 负责教师年月日

学生签字年月日 目录 0 前言 (1) 1 总体方案设计 (2) 1.1 系统方案 (2) 1.2 系统构成 (2) 1.3 电路工作原理 (2) 1.4 方案选择 (3) 2 硬件电路设计 (3) 2.1 系统分析与硬件设计 (3) 2.2 单片机AT89C52 (3) 2.3 复位电路和时钟电路 (4) 2.4 直流电机驱动电路设计 (4) 2.5 键盘电路设计 (4) 3软件设计 (5) 3.1 应用软件的编制和调试 (5) 3.2 程序总体设计 (5) 3.3 仿真图形 (7) 4 调试分析 (9) 5 结论及进一步设想 (9) 参考文献 (10) 课设体会 (11) 附录1 电路原理图 (12) 附录2 程序清单 (13)

直流电机调速控制系统设计

成绩 电气控制与PLC 课程设计说明书 直流电机调速控制系统设计 . Translate DC motor speed Control system design 学生姓名王杰 学号20130503213 信电工程学院13自动 学院班级 化 专业名称电气工程及其自动化 指导教师肖理庆

201 6年 6 月 14 日

目录 1 直流电机调速控制系统模型 0 1.1 直流调速系统的主导调速方法 0 因此,降压调速是直流电机调速系统的主导调速方法。 0 1.2 直流电机调速控制的传递函数 0 1.2.1 电流与电压的传递函数 (1) 1.2.2 电动势与电流的传递函数 (1) 由已学可知,单轴系统的运用方程为: (1) 1.3 直流调速系统的控制方法选择 (3) 1.3.1 开环直流调速系统 (3) 1.3.2 单闭环直流调速系统 (3) 由前述分析可知,开环系统不能满足较高的调速指标要求,因此必须采取闭环控制系统。图1-4所示的是,转速反馈单闭环调速系统,其是一种结构相对复杂的反馈控制系统。转速控制是动态性能的控制,相比开环系统,速度闭环控制的控制精度及控制稳定性要好得多,但缺乏对于静态电流I的有效控制,故这类系统被称之为“有静差”调速系统。 (4) 1.3.3 双闭环直流调速系统 (4) 图1-4 双闭环控制直流调速控制系统 (4) 1.3.3.1 转速调节器(ASR) (4) 1.3.3.1 电流调节器(ACR) (4) 1.4 直流电机的可逆运行 (5) 1.2 ×××××× (7) 1.2.1 电流与电压的传递函数 (7) (8) 3 PLC在直流调速系统中的应用 (8) 2 ××××× (9) 2.1 ×××××× (9) 2.1.1 ×××× (9) 3 ××××× (11) 3.1 ×××××× (11) 3.1.1 ×××× (11) 参考文献 (12) 附录 (13) 附录1 (13)

无刷直流电机控制系统的设计

1引言无刷直流电机最本质的特征是没有机械换向器和电刷所构成的机械接触式换向机构。现在,无刷直流电机定义有俩种:一种是方波/梯形波直流电机才可以被称为无刷直流电机,而正弦波直流电机则被认为是永磁同步电机。另一种是方波/梯形波直流电机和正弦波直流电机都是无刷直流电机。国际电器制造业协会在1987年将无刷直流电机定义为“一种转子为永磁体,带转子位置信号,通过电子换相控制的自同步旋转电机”,其换相电路可以是独立的或集成于电机本体上的。本次设计采用第一种定义,把具有方波/梯形波无刷直流电机称为无刷直流电机。从20世纪90年代开始,由于人们生活水平的不断提高和现代化生产、办公自动化的发展,家用电器、工业机器人等设备都向着高效率化、小型化及高智能化发展,电机作为设备的重要组成部分,必须具有精度高、速度快、效率高等优点,因此无刷直流电机的应用也发展迅速[1]。 1.1 无刷直流电机的发展概况 无刷直流电动机是由有刷直流电动机的基础上发展过来的。 19世纪40年代,第一台直流电动机研制成功,经过70多年不断的发展,直流电机进入成熟阶段,并且运用广泛。 1955年,美国的D.Harrison申请了用晶体管换相线路代替有刷直流电动机的机械电刷的专利,形成了现代无刷直流电动机的雏形。 在20世纪60年代初,霍尔元件等位置传感器和电子换向线路的发现,标志着真正的无刷直流电机的出现。 20世纪70年代初,德国人Blaschke提出矢量控制理论,无刷直流电机的性能控制水平得到进一步的提高,极大地推动了电机在高性能领域的应用。 1987年,在北京举办的德国金属加工设备展览会上,西门子和博世两公司展出了永磁自同步伺服系统和驱动器,引起了我国有关学者的注意,自此我国开始了研制和开发电机控制系统和驱动的热潮。目前,我国无刷直流电机的系列产品越来越多,形成了生产规模。 无刷直流电动机的发展主要取决于电子电力技术的发展,无刷直流电机发展的初期,由于大功率开关器件的发展处于初级阶段,性能差,价格贵,而且受永磁材料和驱动控制技术的约束,这让无刷直流电动机问世以后的很长一段时间内,都停

直流电机调速计算机控制技术课程设计

计算机控制技术课程设计 专业:自动化 班级: 姓名: 学号: 指导教师: 兰州交通大学自动化与电气工程学院 2016年07月15日

直流电机调速系统设计 1设计目的 本课程设计是在修完《计算机控制技术A》课程之后,为加强对学生系统设计和应用能力的培养而开设的综合设计训练环节。本课程设计结合《计算机控制技术A》课程的基础理论,重点强调实际应用技能训练,包括计算机控制系统算法软件和硬件设计。其课程设计任务是使学生通过应用计算机控制技术的基本理论,基本知识与基本技能,掌握计算控制技术中各主要环节的设计、调试方法,初步掌握并具备应用计算机进行设备技术改造和产品开发的能力,培养学生的创新意识,提高学生的动手能力、分析问题和解决问题的能力。 2 设计方法 设计一个直流电机系统,合理选择PID控制规律,掌握被控对象参数检测方法、H桥驱动的功能、旋转编码器的功能、单片机PWM控制波形输出方法,进一步加强对课堂理论知识的理解与综合应用能力,进而提高解决实际工程问题的能力。直流电机调速系统是以电机转速作为变量,单片机根据采集电机转速的测量值与设定值的偏差去控制PWM波形的脉宽,从而改变直流电机两端的电压,达到控制转速的目的。直流电机调速系统由单片机、直流电机、光电式旋转编码器、H桥驱动、LCD显示屏等及相关电路组成。 3 设计方案及原理 3.1系统功能介绍 整个控制系统由控制器、执行器、被控对象和测量变送组成,在本次控制系统中控制器为单片机,采用算法为PID增量算法控制规律,执行器为H桥驱动电路,测量变送器为光电式旋转编码器,被控对象为直流电机。然后通过单片机对数据进行处理,控制转速的大小和正反转。 3.2系统组成总体结构 计算机控制系统由控制计算机系统和生产过程两大部分组成。控制计算机是指按生产过程控制的特点和要求而设计的计算机系统,它可以根据系统的规模和要求选择或设计不同种类的计算机。计算机控制系统基本结构如图1所示。

直流电机控制电路集锦

直流电机控制电路集锦 直流电机的类型 按:直流电机在家用电器、电子仪器设备、电子玩具、录相机及各种自动控制中都有广泛的应用。但对它的使用和控制,很多读者还不熟悉,而且其技术资料亦难于查找。直流电机控制电路集锦,将使读者“得来全不费功夫”! 在现代电子产品中,自动控制系统,电子仪器设备、家用电器、电子玩具等等方面,直流电机都得到了广泛的应用。大家熟悉的录音机、电唱机、录相机、电子计算机等,都不能缺少直流电机。所以直流电机的控制是一门很实用的技术。本文将详细介绍各种直流电机的控制技术。 站长的几句说明:本文内容比较详实完整,但遗憾的是原稿的印刷质量和绘图的确很差,尽管采取了很多措施,有些图仍可能看不太清楚。 直流电机,大体上可分为四类: 第一类为有几相绕组的步进电机。这些步进电机,外加适当的序列脉冲,可使主轴转动一个精密的角度(通常在1.8°--7.5°之间)。只要施加合适的脉冲序列,电机可以按照人们的预定的速度或方向进行连续的转动。 步进电机用微处理器或专用步进电机驱动集成电路,很容易实现控制。例如常用的SAAl027或SAAl024专用步进电机控制电路。 步进电机广泛用于需要角度转动精确计量的地方。例如:机器人手臂的运动,高级字轮的字符选择,计算机驱动器的磁头控制,打印机的字头控制等,都要用到步进电机。 第二类为永磁式换流器直流电机,它的设计很简单,但使用极为广泛。当外加额定直流电压时,转速几乎相等。这类电机用于录音机、录相机、唱机或激光唱机等固定转速的机器或设备中。也用于变速范围很宽的驱动装置,例如:小型电钻、模型火车、电子玩具等。在这些应用中,它借助于电子控制电路的作用,使电机功能大大加强。 第三类是所谓的伺服电机,伺服电机是自动装置中的执行元件,它的最大特点是可控。在有控制信号时,伺服电机就转动,且转速大小正比于控制电压的大小,除去控制信号电压后,伺服电机就立即停止转动。伺服电机应用甚广,几乎所有的自动控制系统中都需要用到。例如测速电机,它的输出正比于电机的速度;或者齿轮盒驱动电位器机构,它的输出正比于电位器移动的位置.当这类电机与适当的功率控制反馈环配合时,它的速度可以与外部振荡器频率精确锁定,或与外部位移控制旋钮进行锁定。 唱机或激光唱机的转盘常用伺服电机。天线转动系统,遥控模型飞机和舰船也都要用到伺服电机。 最后一类为两相低电压交流电机。这类电机通常是直流电源供给一个低频振荡器,然后再用低频低压的交流去驱动电机。这类电机偶尔也用在转盘驱动机构中。 步进电机的基本工作原理

直流电机转速控制

. 直流电机转速控制 课程设计

姓名: 学号: 班级: 目录 1.直流电机转速控制方案设计 (2) 1.1设计要求 (2) 1.2设计框图 (2) 2.直流电机转速控制硬件设计 (3) 2.1主要器件功能 (3) 2.2硬件原理图 (6)

3.直流电机转速控制软件设计 (7) 4.调试 (8) 4.1硬件测试 (8) 4.2软件调试……………………………………………………………(11 1.直流电机转速控制方案设计 1.1设计要求 通过设计了解如何运用电子技术来实现直流电机转速控制,完成直流电机转向和转速的控制,提高分析电路设计、调试方面问题和解决问题的能力。

1、用按键1控制旋转方向,实现正转和反转。 2、电机的设定转速与电机的实际转速在数码管上显示。 3、旋转速度可实时改变。 1.2设计框图 本课题中测量控制电路组成框图如下所示: 图1

2.直流电机转速控制硬件设计 2.1主要器件功能 1、L298N 是专用驱动集成电路,属于H 桥集成电路,与L293D 的差别是其输出电流增大,功率增强。其输出电流为2A,最高电流4A,最高工作电压50V,可以驱动感性负载,如大功率直流电机,步进电机,电磁阀等,特别是其输入端可以与单片机直接相联,从而很方便地受单片机控制。当驱动直流电机时,可以直接控制步进电机,并可以实现电机正转与反转,实现此功能只需改变输入端的逻辑电平。此外可能通过使能端的高低电平的变换,从而使电机通断,来控制电机的转速。 图2 板上的EN1 与EN2 为高电平时有效,这里的电平指的是TTL 电平。EN1 为IN1 和IN2 的使能端,EN2为IN3 和IN4 的使能端。POWER 接直流电源,注意正负,电

直流电机控制系统设计范本

直流电机控制系统 设计

XX大学 课程设计 (论文)题目直流电机控制系统设计 班级 学号 学生姓名 指导教师

沈阳航空航天大学 课程设计任务书 课程名称专业基础课程设计 院(系)自动化学院专业测控技术与仪器 班级学号姓名 课程设计题目直流电机控制系统设计 课程设计时间: 7 月 9 日至 7 月 20 日 课程设计的内容及要求: 1.内容 利用51单片机开发板设计并制作一个直流电机控制系统。系统能够实时控制电机的正转、反转、启动、停止、加速、减速等。 2.要求 (1)掌握直流电机的工作原理及编程方法。 (2)掌握直流电机驱动电路的设计方法。 (3)制定设计方案,绘制系统工作框图,给出系统电路原理图。 (4)用汇编或C语言进行程序设计与调试。 (5)完成系统硬件电路的设计。 (6)撰写一篇7000字左右的课程设计报告。

指导教师年月日 负责教师年月日 学生签字年月日 目录 0 前言...................................................................................... 错误!未定义书签。 1 总体方案设计 ...................................................................... 错误!未定义书签。 1.1 系统方案 ...................................................................... 错误!未定义书签。 1.2 系统构成 ...................................................................... 错误!未定义书签。 1.3 电路工作原理............................................................... 错误!未定义书签。 1.4 方案选择 ...................................................................... 错误!未定义书签。 2 硬件电路设计 ...................................................................... 错误!未定义书签。 2.1 系统分析与硬件设计................................................... 错误!未定义书签。 2.2 单片机AT89C52............................................................ 错误!未定义书签。 2.3 复位电路和时钟电路................................................... 错误!未定义书签。 2.4 直流电机驱动电路设计 ............................................... 错误!未定义书签。 2.5 键盘电路设计............................................................... 错误!未定义书签。 3 软件设计 ............................................................................ 错误!未定义书签。 3.1 应用软件的编制和调试 ............................................... 错误!未定义书签。 3.2 程序总体设计............................................................... 错误!未定义书签。 3.3 仿真图形 ...................................................................... 错误!未定义书签。 4 调试分析 .............................................................................. 错误!未定义书签。

直流电机调速电路的设计

课程设计说明书 直流电机调速电路的设计 系、部: 学生姓名: 指导教师: 专业: 班级: 完成时间: 摘要

将电子技术和控制技术引入传统的电力技术领域,利用半导体开关器件组成各种电力变换电路实现电能的变换和控制,构成了一门完整的学科,被国际电工委员会命名为电力电子学或称为电力电子技术,他是一门综合了电子技术,控制技术和电力技术的新兴交叉学科。直流电机是电机的主要类型之一。一台直流电机即可作为发电机使用,也可作为电动机使用,用作直流发电机可以得到直流电源,而作为直流电动机,由于其具有良好的调速性能,在许多调速性能要求较高的场合,仍得到广泛使用。直流电动机是人类最早发明和应用的有一种电机。直流电动机是将直流电转换为的旋转机械。他与交流电动机相比,虽然直流电动机因为结构复杂,维护困难,价格比较贵等缺点制约了它的发展,应用不如交流电动机广泛。但由于直流电动机有优良的启动,调速和制动性能,因此在工业领域中仍占有一席之地。 关键词电力电子技术;直流电动机;机械能 ABSTRACT

Will the electronic technology and control technology into the traditional power technology, using semiconductor switching parts of all kinds of power transformation of electric power circuit implementation transformation and control, constitute a complete discipline, be door to the international electrotechnical commission named power electronics or called power electronic technology, he is a comprehensive electronic technology, control technology and the emerging interdisciplinary power technology. Dc motor is one of the main types of the motor. A dc motor as a generator can use, also can use as a motor, used as dc generators can get dc power, and as a dc motor, since it has good performance of speed adjustment, in many speed performa, is still widely used. Dc motor is the earliest human invention and application of a kind of motor. Current motor is converted to dc of rotating machine. He compared with ac motor, although dc motor for the complex structure, maintenance difficulties, price is more expensive shortcomings constrains its development, the application as ac motor widely. But because of dc motor with fine start, speed and braking performance, so in industry still has a place. Key words power electronic technology; dc motor; mechanical energy 目录

直流电动机控制电路的设计

课程设计(论文) 题目名称直流电动机控制电路的设计 课程名称电力拖动基础课程设计 学生姓名周孝雄 学号0941202031 系、专业电气工程系、09自动化 指导教师邱雄迩 2011年12 月18 日

邵阳学院课程设计(论文)任务书 注: 1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

指导教师(签字):学生(签字):

邵阳学院课程设计(论文)评阅表 学生姓名周孝雄学号0941202031 系电气工程系专业班级09自动化班 题目名称直流电动机控制电路的设计课程名称电力拖动基础一、学生自我总结 二、指导教师评定 注:1、本表是学生课程设计(论文)成绩评定的依据,装订在设计说明书(或论文)的“任务书”页后面;

当今,自动化控制系统在各行各业得到了广泛的应用和发展,而直流驱动控制作为电气传动的主流在现代化生产中起着主要作用。直流电动机应用如此之广,主要在于其采用了PWM脉宽调制电路来控制直流电动机的调速。在这里介绍了PWM脉宽产生的电路。该电路运用模拟电子电路基础知识完成,利用产生的方波信号带动负载转动。本设计原理简单,易于理解,电路实现简单。我们先概括介绍了电路中锁需要的电路模块,然后给出了整体的电路图,并做了测试及得出测试结果。 关键词:直流电动机,PWM,三极管

1绪论 (7) 1.1概述 (7) 1.2 直流电动机的基本理论 (7) 1.3直流脉宽调速系统 (10) 2 元器件介绍 (13) 2.1 SG2731 (13) 2.2 三极管C4466 和 A1693 (16) 3 系统设计方案 (17) 3.1直流电动机控制电路 (17) 4直流电动机控制电路的测试 (19) 4.1 测试步骤 (19) 4.2 测试结果 (19) 5实验总结 (21) 参考文献 (22)

直流电机控制系统设计(1)

湖南工程学院课程设计《DSP原理及应用》 题目:直流电机控制系统设计 专业: 班级: 姓名: 学号: 指导教师: 2015年5 月19 日

摘要 直流电动机具有优良的调速特性,调速平滑,方便,调速范围广,过载能力大,能承受频繁的冲击负载,可实现频繁的无级快速起动、制动和反转;能满足生产过程中自动化系统各种不同的特殊运行要求。电动机调速系统采用微机实现自动控制,是电气传动发展的主要方向之一。采用微机控制后,整个调速系统体积小,结构简单、可靠性高、操作维护方便,电动机稳态运转时转速精度可达到较高水平,静动态各项指标均能较好地满足工业生产中高性能电气传动的要求。 本篇论文介绍了基于单片机的直流电机PWN调速的基本办法,直流电机调速的相关知识以及PWM调速的基本原理和实现方法。重点介绍了基于TMS320LF2407单片机的用软件产生PWM信号以及信号占空比调节的方法。对于直流电机速度控制系统的实现提供了一种有效的途径。 关键词:单片机最小系统;PWM ;直流电机调速,TMS320LF2407;

前言 电动机作为最主要的机电能量转换装置,其应用范围已遍及国民经济的各个领域和人们的日常生活。无论是在工农业生产,交通运输,国防,航空航天,医疗卫生,商务和办公设备中,还是在日常生活的家用电器和消费电子产品(如电冰箱,空调,DVD等)中,都大量使用着各种各样的电动机。据资料显示,在所有动力资源中,百分之九十以上来自电动机。同样,我国生产的电能中有百分之六十是用于电动机的。电动机与人的生活息息相关,密不可分。电气时代,电动机的调速控制一般采用模拟法,对电动机的简单控制应用比较多。简单控制是指对电动机进行启动,制动,正反转控制和顺序控制。然而近年来,随着技术的发展和进步,以及市场对产品功能和性能的要求不断提高,直流电动机的应用更加广泛,尤其是在智能机器人中的应用。直流电动机的起动和调速性能、过载能力强等特点显得十分重要,为了能够适应发展的要求,单闭环直流电动机的调速控制系统得到了很大的发展。而作为单片嵌入式系统的核心—单片机,正朝着多功能、多选择、高速度、低功耗、低价格、大存储容量和强I/O功能等方向发展。随着计算机档次的不断提高,功能的不断完善,单片机已越来越广泛地应用在各种领域的控制、自动化、智能化等方面,特别是在直流电动机的调速控制系统中。这是因为单片机具有很多优点:体积小,功能全,抗干扰能力强,可靠性高,结构合理,指令丰富,控制功能强,造价低等。所以选用单片机作为控制系统的核心以

基于STM32的直流电机调速控制器的设计说明

基于STM32的直流电机调速控制器的设计 摘要:介绍了一款主要由STM32微处理器,IR2110驱动芯片,光电编码器等构成的直流电机PWM调速控制器。详细介绍了直流电机调速原理、光电编码器的工作原理。 并根据整体方案设计,设计了逻辑信号处理电路、IR2110 驱动电路以及主程序,PWM输出子程序等硬软件设计。本设计主要可以应用在小型电动船或车上,具有较高的使用 价值。 关键词:直流电机;光电编码器;PWM;IR2110驱动 A PWM speed controller of DC motor based on STM32 LI Zhi-hong QIAN Chen-liang the School of Automation,Wuhan University of Technology Wuhan HuBei China 430070 Abstract:A PWM speed controller of DC motor based on STM32 is introduced in this paper. The main components of the controller are STM32,IR2110 driver IC,photoelectric encoder and so on. This paper elaborated on the governor principle of DC motor and working principle of photoelectric encoder. According to

the entire project plan,the hardware and software design,including signal processing logic circuit, IR2110 driver circuit,main program and PWM subroutine were designed. This controller mainly applied on small electric boat or car,which owns higher use value. Keywords:DC motor;photoelectric encoder;PWM;IR2110 driver 1 前言 随着电力电子技术的发展,直流电机靠其优良的控制 性能和线性特性等诸多特点在工业控制、航海、汽车工程 和精密家电等诸多领域内被广泛应用。[1]经过多年的研发,如今的直流电机调速技术也已经达到了一个新的高度在精 准性、可控性和抗干扰性能的优良性上得到了很大的提高。 [2] 如今,数字式直流调速系统已经逐渐变成了主流,本 文以STM32作为主控芯片,IR2110为驱动芯片设计了一款直流电机控制器,可以通过调节光电编码器的旋转方向和 角度来控制直流电机的转速和转向。该控制器可以应用于 小型电动船或车上,具有较高使用价值。 2具体原理和方法 2.1直流电机调速原理

直流电机转速控制(DOC)

直流电机转速控制 课程设计 姓名: 学号: 班级:

目录 1.直流电机转速控制方案设计 (2) 1.1设计要求 (2) 1.2设计框图 (2) 2.直流电机转速控制硬件设计 (3) 2.1主要器件功能 (3) 2.2硬件原理图 (6) 3.直流电机转速控制软件设计 (7) 4.调试 (8) 4.1硬件测试 (8) 4.2软件调试……………………………………………………………(11

1.直流电机转速控制方案设计 1.1设计要求 通过设计了解如何运用电子技术来实现直流电机转速控制,完成直流电机转向和转速的控制,提高分析电路设计、调试方面问题和解决问题的能力。 1、用按键1控制旋转方向,实现正转和反转。 2、电机的设定转速与电机的实际转速在数码管上显示。 3、旋转速度可实时改变。 1.2设计框图 本课题中测量控制电路组成框图如下所示: 图1

2.直流电机转速控制硬件设计 2.1主要器件功能 1、L298N 是专用驱动集成电路,属于H 桥集成电路,与L293D 的差别是其输出电流增大,功率增强。其输出电流为2A,最高电流4A,最高工作电压50V,可以驱动感性负载,如大功率直流电机,步进电机,电磁阀等,特别是其输入端可以与单片机直接相联,从而很方便地受单片机控制。当驱动直流电机时,可以直接控制步进电机,并可以实现电机正转与反转,实现此功能只需改变输入端的逻辑电平。此外可能通过使能端的高低电平的变换,从而使电机通断,来控制电机的转速。 图2 板上的EN1 与EN2 为高电平时有效,这里的电平指的是TTL 电平。EN1 为IN1 和IN2 的使能端,EN2为IN3 和IN4 的使能端。POWER 接直流电源,注意正负,电源正端为VCC,电源地为GND。 2、ZLG7290的核心是一块ZLG7290B芯片,它采用I2C接口,能直接驱动8位共阴式数码管,同时可扫描管理多达64只按键,实现人机对话的功能资源十分丰富。除具有自动消除抖动功能外,它还具有段闪烁、段点亮、段熄灭、

相关主题
文本预览
相关文档 最新文档