当前位置:文档之家› 数字电子技术课程标准

数字电子技术课程标准

数字电子技术课程标准
数字电子技术课程标准

《数字电子技术》课程标准

一、课程简介

(一)课程性质

《数字电子技术》基础课程是电气工程及其自动化专业本科生在电子技术方面入门性质的技术基础课,具有自身的体系和很强的实践性。本课程通过对常用电子器件、数字电路及其系统的分析和设计的学习,使学生获得数字电子技术方面的基本知识、基本理论和基本技能,为深入学习数字电子技术及其在专业中的应用打好基础。本课程在第三学期开设,其前导课程是《高等数学》、《电路原理》、《模拟电子技术》,后续课程是《单片机接口技术》、《电气控制与PLC》等。

(二)课程任务

本课程的主要任务是使学生掌握数字电路与系统的工作原理和分析设计方法;学会使用标准的集成电路和高密度可编程逻辑器件,掌握数字系统的基本设计方法,为进一步学习各种超大规模集成电路的系统设计打下基础。

二、课程目标和能力培养

(一)总体目标

使学生掌握数字电子技术的基本原理、基本理论、基本知识,具有较强的实验技能,对学生进行电子设计能力训练,为学习后续专业课程准备必要的知识,并为今后从事有关实际工作奠定必要的基础。在学习中认识电子技术对现代科学技术重大影响和各种应用,了解并适当涉及正在发展的学科前沿。

(二)具体目标

1.知识目标

掌握常用计数进制和常用BCD码;

掌握逻辑函数及其化简;

掌握TTL门电路、CMOS门电路的特点和常用参数;

理解常用组合逻辑电路的原理,掌握其功能;

理解JK触发器和D触发器的工作原理,掌握其逻辑功能;

理解常用时序逻辑电路的原理,掌握其功能;

掌握555集成定时器的工作原理和逻辑功能。

2.能力目标

能正确使用各种类型的集成门电路,并能利用集成门电路制作

成一定功能的组合逻辑电路;

能正确使用常用的中规模组合逻辑电路;

会使用触发器、寄存器、移位寄存器和常用的中规模集成计数

器;

能借助于仪器仪表,对小型数字系统的故障进行检测和维修;

3.素质目标

专业与敬业精神

养成诚实、守信、吃苦耐劳的品德;

养成善于动脑,勤于思考,及时发现问题的学习习惯;

养成踏实肯干、勤学好问的工作习惯;

具有善于和客户沟通和公司工作人员共事的团队意识,能进行

良好的团队合作;

养成爱护工具设备、保护环境良好习惯。

三、课程内容及实施

(一)理论教学

(二)实践教学

四、教学条件

(一)教学师资

(二)教材与参考资料

1.教材

(1)余孟尝主编.《数字电子技术基础简明教程》(第三版),高等教育出版社,2006年.

2.参考资料

(1)阎石主编.《数字电子技术基础》(第五版),高等教育出版社,2011年.

(2)康华光主编.《电子技术基础数字部分》(第四版),高等教育出版社,2008年.

(三)教学设备

(四)教学资源

如:精品课程网站、课程平台、多媒体课件、教学软件等。

五、考核方式

本课程考核成绩由过程考核和期末考试综合评定,其中:过程考核占30%;期末考试占70%。过程考核成绩根据学生学习此项学习任务的综合完成情况评定;期末考试采用闭卷考试形式,主要考核学生对理论知识的实际应用能力和综合设计能力。

六、其他说明

《数字电子技术》总结复习

《数字电子技术》复习 一、主要知识点总结和要求 1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421BCD、格雷码之间进行相互转换。 举例1:(37.25)10= ( )2= ( )16= ( )8421BCD 解:(37.25)10= ( 100101.01 )2= ( 25.4 )16= ( 00110111.00100101 )8421BCD 2.逻辑门电路: (1)基本概念 1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。 2)TTL门电路典型高电平为3.6 V,典型低电平为0.3 V。 3)OC门和OD门具有线与功能。 4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。 5)门电路参数:噪声容限V NH或V NL、扇出系数N o、平均传输时间t pd。 要求:掌握八种逻辑门电路的逻辑功能;掌握OC门和OD门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。 举例2:画出下列电路的输出波形。 解:由逻辑图写出表达式为:C + = =,则输出Y见上。 + Y+ A A B B C 3.基本逻辑运算的特点: 与运算:见零为零,全1为1;或运算:见1为1,全零为零; 与非运算:见零为1,全1为零;或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零;同或运算:相同为1,相异为零;

非运算:零变 1, 1 变零; 要求:熟练应用上述逻辑运算。 4. 数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。 5.逻辑代数运算的基本规则 ①反演规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换 成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y的反函数Y (或称补函数)。这个规则称为反演规则。 ②对偶规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,而变量保持不

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

《数字电子技术基础》 阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

数字电子技术基础学习总结

数字电子技术基础学习总结 光阴似箭,日月如梭。有到了这个学期的期末,对我来说又是一次对知识的大检查。 这学期总共学习了4章,分别是数字逻辑基础、逻辑门电路基础、组合逻辑电路、触发器。 在第一章学习数字逻辑基础包括模拟信号与数字信号、数字电路、数制、各种数制之间的转换和对应关系表、码制(BCD码、格雷码、ASCII码)、逻辑问题的描述(这个是重点)、逻辑函数的五种描述方法、逻辑函数的化简; 在数制里学习四种进制十进制、二进制、八进制、十六进制;十进制是逢十进一,二进制是逢二进一,在八进制中只是二进制的一种简便表示方法而已,它的规律是逢八近一,而十六进制有09ABCDEF十六个数码这个要记住和一些算法。 比如十进制的534,八进制为1026,过程为: 534/8=66,余数为6; 66/8=8,余数为2; 8/8=1,余数为0; 1/8=0,余数为1;

仍然是从下往上看这些余数,顺序写出,答案为1026 所以在数制的之间转换有5种转换,10和2转换(除2取余数法,如上题一样),10和8转换对整数除8取余,对小数点乘8取整。10和16转换对整数除16取余,对小数点乘16取整,2和8转换对应关系3位二进制对应1位八进制可看对应关系图。2和16转换4位二进制对应1位十六进制数,可看对应关系图。 在码制的学习中学习了3种码BCD码、格雷码、ASCII码。 BCD码:用4位二进制数来表示1位十进制数中的0~9这10个数码,简称BCD码,还有几个常用的BCD码:8421(常用)、5421、2421、余3。 如8421码321的8421码就是(查表) 3 2 1 0011 0010 0001 原因:0011=8x0+4x0+1x2+1x1=3 、 0010=8x0+4x0+2x1+1x0=2、0001=8x0+4x0+2x0+1x1=1; 格雷码:有两个特点1相邻性2循环性。

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

数字电子技术基础教案

数字电子技术基础教案 太原工业学院 第1章逻辑代数基础

目的与要求: 熟练掌握基本逻辑运算和几种常用复合导出逻辑运算;熟练运用真值表、逻辑式、逻辑图来表示逻辑函数。 重点与难点: 重点:三种基本逻辑运算和几种导出逻辑运算;真值表、逻辑式、逻辑图之间的相互转换。难点:将真值表转换为逻辑式。 所谓数字电路,就是用0和1数字编码来表示和传输信息的系统,即信息数字化(时代)。 数字电路与传统的模拟电路比较,其突出的优点是:(如数字通 信系统)抗干扰能力强、保密性好、计算机自动控制、(数字测量 仪表)精度高、智能化、(集成电路)可靠性高、体积小等。 数字电子技术基础,是电子信息类各专业的主要技术基础课。 1、1概述 一、模拟量(时间、温度、压力、速度、流量):时间上和幅值上 连续变化的物理量; 模拟信号(正弦交流信号):表示模拟量的信号。 数字量:时间上和幅值上都不连续变化的物理量(工厂中生产的产品个数); 数字信号、数字电路。 数字电路中的数字信号 采用0、1两种数值(便于实现)(位bit 、拍) 0、1表示方法:电位型:电位高低(不归零型数字信号) 脉冲型:有无脉冲(归零型数字信号) 二、数制及其转换 由0、1数值引入二进制及其相关问题。 常用数制:举例:十进制、二进制(双)、七进制(星期)、 十二进制(打)等。 特点:基数:数制中所用数码的个数; 位权。 1. 十进制数 基数:10 位权:n 10 表达式:10)(N =(P2 式1-1)=i n m i i a 101 ?∑--= (1-1) 推广到任意进制R : 基数:R 位权:n R

表达式:R N )(=(P2 式1-2)=i n m i i R a ?∑--=1 (1-2) 2. 二进制数 表达式:2)(N =(P3 式1-3)=i n m i i a 21 ?∑--= (1-3) 位权:以K 为单位;按二进制思维(如1000个苹果问题); 例如:(1101.01)2= 0-16对应的二进制数 特点:信息密度低,引入八、十六进制。 3. 八进制、十六进制 八进制: 基数:8(0-7) 位权:n 8 表达式:8)(N == i n m i i a 81?∑--= ( 1-4) 十六进制: 基数:16(0-9,A ,B ,C ,D ,E ,F ) 位权:n 16 表达式:16)(N ==i n m i i a 161?∑--= 特点:和二进制有简单对应关系;信息密度高,便于书写。 4. 不同进制数的转换 ⑴ R →十:按位权展开,再按十进制运算规则运算。 例1-1、1-2、1-3(P4) ⑵ 十→R :分两步 整数部分:除R 取余,注意结束及结果; 小数部分:乘R 取整,注意精度及结果; 结果合并: ⑶ R=2k 进制之间的转换 二?八:3位?1位, 二?十六:4位?1位, 八?十六:以二进制为过度, 5. 进制的另一种表示方法: B (inary )----二; H(exadecimal)----十六; D(ecimal)----十; O----八 三、二—十进制代码(BCD 代码)

2017期中教学检查总结

2017期中教学检查总结 导读:本文2017期中教学检查总结,仅供参考,如果能帮助到您,欢迎点评和分享。 2017期中教学检查总结如下,希望您仔细阅读。 2017期中教学检查总结【一】为了全面检查了解我校评估后教学运行情况,进一步加强教育教学管理,不断提高教学质量,学校于第11~12周(2017年5月5~16日)进行了本学期期中教学检查。各学院(教学部)高度重视期中教学检查工作,成立领导小组,根据学校通知的检查内容要求对本单位进行自查,找出问题与不足,写出自查报告。并协助学校检查组做好专项教学检查。学校成立了由教务处、实验与国有资产管理处处科室领导、学校督导员、各院部分管教学工作的副院长(副主任)组成的六个检查小组,分工对各院部教师的课堂教学进行了听课检查,并于5月13、14日分别到各院部对毕业设计(论文)工作、上学期期末考试试卷和实验教学工作进行了专项检查。现根据各院部期中教学检查总结和学校检查组检查情况,对本学期期中教学检查情况总结如下。 开学以来教学工作基本情况 本学期的教学工作是在教育部对我校本科教学工作水平进行评估并获得优秀成绩的大好形势下开展的,各学院(教学部)动员和组织广大教师和学生,按照学校统一部署,总结评建经验,巩固评建成果,认真贯彻落实在评建工作中建立起来的各项工作规范和质量标准,发

扬形成的优良教风和学风,并按照专家组的反馈意见,制定落实整改措施,进一步改进教学,全面实施学校提出本科教育优质工程。教学工作蓬蓬勃勃地开展着,并取得了良好的效果。 1.关于课堂教学工作 大部分教师都能认真执行课堂教学规范,按照授课计划执行,教学态度端正,讲课精神饱满、有热情;备课认真,教学内容熟练,语言流畅,表达清晰;重点突出,难点解决办法清晰适当;注意与学生的交流,使用不同的方法调动学生的积极性;教学内容丰富,信息量较大,很多教师能补充科技发展的新成果;能较正确使用多媒体课件,注意课件与板书的配合使用,效果较好,有部分课件质量较好;大部分班级学生出勤良好,教师课堂教学管理较严格。 物理学院张静华老师教态自然大方,态度积极认真,教学结构合理,内容熟练充实,思路清晰,注意问题的分析,多媒体课件演示和必要规范的板书有机结合效果好,语言流畅。臧xx老师根据本次课的教学内容选择板书教学,板书设计合理,规范,讲课效果很好。 文学院刘xx老师对教学内容整体把握能力较强,多媒体课件与板书互为补充,思路清晰、板书简练,重点突出,信息量大,知识储备充足,理论与实际结合到位。 机械学院毛xx老师讲授内容熟练,语言流畅,表达清楚,声音比较洪亮,课件比较实用,并结合适当的板书,讲授中注意启发和引导参与教学活动,对问题的分析比较明确和透彻。 化工学院柳玉英老师课堂组织比较好,课堂秩序好,多媒体运用

数字电子技术总结复习

数字电子技术总结复习集团档案编码:[YTTR-YTPT28-YTNTL98-UYTYNN08]

《数字电子技术》复习 一、主要知识点总结和要求 1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421BCD、格雷码之间进行相互转换。 举例1:()10= ( )2= ( )16= ( )8421BCD 解:()10= ( )2= ( )16= ( )8421BCD 2.逻辑门电路: (1)基本概念 1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。 2)TTL门电路典型高电平为 V,典型低电平为 V。 3)OC门和OD门具有线与功能。 4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。 5)门电路参数:噪声容限V NH或V NL、扇出系数N o、平均传输时间t pd。 要求:掌握八种逻辑门电路的逻辑功能;掌握OC门和OD门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。 举例2:画出下列电路的输出波形。 解:由逻辑图写出表达式为:C + = =,则输出Y见上。 + Y+ A A B B C 3.基本逻辑运算的特点: 与运算:见零为零,全1为1;或运算:见1为1,全零为零; 与非运算:见零为1,全1为零;或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零;同或运算:相同为1,相异为零;

非运算:零变 1, 1 变零; 要求:熟练应用上述逻辑运算。 4. 数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。 5.逻辑代数运算的基本规则 ①反演规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成 “+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y的反函数Y(或称补函数)。这个规则称为反演规则。 ②对偶规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,而变量保持

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

成绩分析会总结

成绩分析会总结 (文章一):教学质量分析会后的反思教学质量分析会后的反思分析人:为进一步总结我校上半年教学工作取得的成绩,分析存在的问题和差距,明确下一阶段的工作目标。2xx年3月10日下午,我校全体教师参加了教管中心组织的教学质量分析会,在本次教学质量分析会上,听了主任和刘老师的重要讲话,同时也听了几位老师关于上学期期末考试成绩分析及最近一个阶段的教学经验总结后,深深地感到他们的钻研精神、他们的创新精神、他们的亲合精神,根据他们的经验总结自己学科的教学。通过这次会议,我觉得自己可以从以下方面来改进: (1)、向四十分钟要质量(1)精讲就要求教师认真备好每堂课,起码要做到课前精心设计,顾及到在课堂中遇到的问题,做到胸有成竹,在分析本班班情的情况下要特别关注差生的接受能力,在备课的同时要尽量照顾这部分学生,在授课过程中要剖析新旧知识的联系,给学生以点拨、激发学生的注意力和学习兴趣,形成良好的课堂氛围,做到教师精讲,引导学生多思考,尽量向四十分钟要质量。(2)多练就是要留给学生练习时间,在精讲的基础上,把学到的知识反复在课堂上多练,这就要特别关注部分差生,经过反复练习,以至于他们也完全掌握,这样老师好教,学生好学,充分调动了学生的学习积极性,课堂教学效益也得到了较大提高。 (2)、把教学反思做到实处。课堂教学中有所得也会有所失,学生

学习习惯的差异,知识水平和理解能力的参差不齐,教师的教学设想与实际有时会有不相适应的地方,有时会觉得有不尽人意之处,做为教师要认真分析总结这节课的优劣,不断总结经验教训,会在今后的教学中少走弯路,并同时能及时发现个人在教学中出现的不足之处,从而可以获得宝贵的经验教训,以便提高自己的教学水平,增强自身的教学能力,使自己的教学工作日益得到完善。 (3)、注意差生的转化每个班都或多或少的存在着部分差生,这些差生人数虽少,但对全面提高教学质量有着很大的、直接的影响,一个班集体学生学习素质的好坏,也就是转化差生人数的多少。转化一个算一个,教学质量也就上一点,那么转化两个、三个呢?但这并不是一件很容易做到的事,这就要求我们在平时教学工作中要花费心血。绝大多数差生是“非智力因素”造成的,有的没有养成良好的习惯,有的学习目的不明确,学习被动应付,不求进取,有的则由于家庭等客观因素的干扰,学习情绪不稳定。也有极少数差生属于“弱智”儿童,他们思维迟钝,知识存在缺陷,学习深感困难,虽然努力但成绩一直上不去,针对以上情况,我们应该采取以下措施进行转化:(1)要我们在这些学生身上多下功夫,多费心血,寻找原因和他们拉近关系,进行相互沟通进行谈心,千万不能鄙视,也不能听之任之,要给他们偏食,加大课外补习辅导,同时要注意他们的“闪光点”给予表扬鼓励,给他们以更多的关爱呵护,通过老师的情感交流去感化他们,从而点燃起他们智慧的火花,使他们的学习情绪会有一定的转化。(2)开展一帮一带活动,增加他们的学习机会,每人找一位成绩好的同学,

数字电子技术实验指导书 新

数字电子技术基础 实验指导书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 北京印刷学院 1

北京印刷学院 信息与机电工程学院 信息工程系 《数字电子技术基础实验》 指 导 书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 电路教研室编 2

3 实验一 示波器的实验研究 一、实验目的与要求 1.掌握COS5020型或V —212E 型双踪示波器的使用方法 2.掌握用示波器测量脉冲波形主要参数的方法 3.熟悉TPE —D6数字电路学习机的使用 二、实验设备与器材 1.双踪示波器 2.数字电路学习机 三、实验内容与步骤 1.双线显示示波器内的CAL 信号 通过检验该信号的周期与幅度,熟悉示波器各旋钮的作用,并测量该信号的周期与幅度。 =CAL V =C A L T 2.示波器测量 用示波器测量数字电路学习机中CP 脉冲的周期(开关放在可调连续脉冲Ⅰ、Ⅱ位置,电位器顺逆时针旋转到底位置),以及该脉冲的逻辑高电平。 =I ax m V =I min T = ax m V = min T 3.观察与测量RC 网络对矩形波信号的响应 本实验所用的电路形式如图1-1所示。 图1-1 RC 实验电路 v I 为输入方波信号,其周期为T =0.1ms 。 (1)RC 微分电路 实验电路中的Z 1和Z 2分别是电容C 和电阻R ,元件参数按表1-1选取,观察与测量输出信号v O 的波形,并测量其脉冲宽度。 (2)RC 积分电路 实验电路中的Z 1和Z 2分别是电阻R 和电容C ,元件参数按表1-2选取,观察与测量输出信号v O 的波形,并测量其脉冲上升时间。 四、预习要求

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

最新数字电子技术基础电子教案——第5章时序逻辑电路.docx

第 5 章时序逻辑电路 5.1时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存 储电路。时序电路的基本结构如图 5.1 所示,它由组合电路和存储电路两部分 组成。 图 5.1时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路 要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的 输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 ( 1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同 步时序电路较复杂,其速度高于异步时序电路。 ( 2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出 Z 既与触发器的状态 Q n有关,又与外部输入 X 有

关。而摩尔型电路的外部输出Z 仅与触发器的状态Q n有关,而与外部输入X 无关。 ( 3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、 状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且 都是分析和设计时序电路的基本工具。 5.2时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。 (2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输 出方程。 (5)列状态表 (6)画状态图和时序图。 (7)检查电路能否自启动并说明其逻辑功能。 5.2.1同步时序逻辑电路的设计方法 1.同步时序逻辑电路的设计步骤 设计同步时序电路的一般过程如图 5.10 所示。 图 5.10同步时序电路的设计过程

电子信息工程专业自评报告

电子信息工程专业自评报告 一、基本情况介绍 XX大学XX学院电子信息工程专业始建于2006年,现归属于XX大学XX学院理工分院。目前在校学生有06、07、08、09级四个年级5个班共计330人。共有专职教师12名,建有9个专业实验室。理工分院开设有与该专业密切相关的通信工程、测控仪器与设备、计算机科学与技术专业,在师资力量和教学资源上对该专业提供了重要支撑。 XX学院有着一套独特而又务实的育人理念,把“让学生更好地走上社会”作为办学的宗旨;把“311”(掌握英语、计算机和中文写作3个交往工具,1个健康人格,1项专业知识和技能)作为人才培养的模式;将“五种素养”(工具、专业、人文、人格、行动力)和“五种能力”(学习能力、实践能力、适应能力、创新能力、可持续发展能力)作为培养的规格;以“应用型,实用性”作为培养的目标。 在广泛参照各高校的培养方案的基础上,根据该专业人才的社会需求情况,结合地方经济的发展,围绕学院办学理念和“311”人才培养模式,制定和调整本专业的人才培养方案。 本专业培养目标:“本专业培养适应社会主义现代化建设和市场经济发展的需要,德、智、体、美全面发展,系统掌握电子技术和计算机信息控制系统的基础理论和专业知识,能从事各类电子设备和计算机信息控制系统的研究、设计、开发和应用的具有创新精神和实践能力的应用型、实用性高级专门人才。” 二、自评情况 1.师资队伍 1.1队伍结构 电子信息工程专业具有较强的师资力量,有从事教学工作数十年、经验丰富的教师,在企业从事技术工作多年的高级工程师,年富力强的中年教师,以及充满活力和干劲的青年教师,是一支热爱教学、教育理念先进、有较强实践能力,结构较为合理、勇于创新、具有团队精神的教学队伍。 师资队伍结构表 1.2 主讲教师

数字电子技术实验指导书

数字电子技术实验指导书 (学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截

数字电子技术教案word版

第一章数字逻辑概论 一、实施时间:第 1-2 周二、实施对象:电信、应物、电气 三、编写时间:1.5 四、课时数:6学时 五.目的要求: (一)教学目的与要求: 1、掌握常见的数制(如:十进制、二进制、八进制、十六进制)及其之间的相互转换; 2、掌握常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 3、掌握二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法(如:真值表、逻辑函数表达式、卡诺图、逻辑电路图、波形图)及其之间的相互转换。 4、掌握基本逻辑运算与、或、非。 5、掌握二进制数(包括正、负二进制数)的表示和补码、反码的运算。 六、主要内容: 1、常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 2、掌握二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法及其之间的相互转换。 3、掌握二进制数(包括正、负二进制数)的表示和补码、反码的运算。 七、本章重点和难点: 1、重点:(1)常见的代码(如:8421码、余三码、循环码、余三循环码)。 (2)数制与代码之间的相互转换,二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法。 2、难点:二进制数(包括正、负二进制数)的表示法和补码的运算。 第一节数制与编码 一、实施时间:第 1 周二、实施对象:电信、应物、电气 三、编写时间:1.5 四、课时数:4学时 五.目的要求: 1、掌握常见的数制(如:十进制、二进制、八进制、十六进制)及其之间的相互转换; 2、掌握常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 六、主要内容: 1、十进制、二进制、八进制、十六进制及其之间的相互转换; 2、二进制正负数的表示及运算。 3、8421码、余三码、循环码以及数制与代码之间的相互转换。 七、教学重点和难点: 8421码、余三码、循环码以及数制与代码之间的相互转换。

数字电子技术基础第五版期末知识点总结归纳

精心整理 数电课程各章重点 第一、二章逻辑代数基础知识要点 各种进制间的转换,逻辑函数的化简。 一、二进制、十进制、十六进制数之间的转换;二进制数的原码、反码和补码.8421 二、:三、 四、 逻辑函数的三种表示方法为:真值表、函数式、逻辑图 会从这三种中任一种推出其它二种,详见例1-7 五、逻辑函数的最小项表示法:最小项的性质;例1-8 六、逻辑函数的化简:要求按步骤解答 1、利用公式法对逻辑函数进行化简

2、利用卡诺图对逻辑函数化简 3、具有约束条件的逻辑函数化简 例1.1利用公式法化简BD + + F+ ) ( ABCD = + A D A B C B C A 解:BD + + F+ ) ( = + ABCD A D A B C A C B 例1.2利用卡诺图化简逻辑函数∑ 、 、 、 ABCD (、 Y m 3( 10 =) 7 6 5 ) 1 于输入电流流过该电阻,会在电阻上产生压降,当电阻大于开门电阻时,相当于逻辑高电平。习题2-7 5、输出低电平负载电流I OL 6、扇出系数N O 一个门电路驱动同类门的最大数目

第四章组合逻辑电路知识要点 组合逻辑电路的分析、设计,利用集成芯片实现逻辑函数。(74138,74151等)一、组合逻辑电路:任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状 态无关 二、组合逻辑电路的分析方法(按步骤解题) 三、 四、 1 2 例 1 2 3 解: 意,输出变量Y=1表示事件成立,逻辑0表示事件不成立。 2.根据题意列出真值表如表 3.1所示表3.1 3.经化简函数Y的最简与或式为:AC + = Y+ AB BC 4.用门电路与非门实现 函数Y的与非—与非表达式为:AC Y= AB BC

数字电子技术实验指导书

《数字电子技术》实验指导书 安阳工学院 电子信息与电气工程学院

实验一门电路逻辑功能及测试 一、实验目的 1.掌握集成门电路的逻辑功能和主要参数的测试方法。 2.熟悉数字电路实验箱及示波器使用方法。 3.学会如何使用集成门电路。 二、实验仪器及材料 1.双踪示波器 2.器件 74LS00 二输入端四与非门 2片 74LS20 四输入端双与非门 1片 74HC86 二输入端四异或门 1片 74LS04 六反相器 1片 三、预习要求 1. 复习门电路的工作原理及相应逻辑表达式。 2.熟悉所用集成电路的引线位置及各引线用途。 3. 了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机电源是否正常。然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电实验。实验中改动接线须先断开电源,接好线后再通电实验。 1. 测试门电路逻辑功能 (1)选用双四输入与非门74LS20一只,插入面包板,按图1.1接线:输入端(第1、2、4、5管脚)接电平开关,输出端(第6管脚)接电平显示发光二极管(注意:74LS20第7管脚接地,第14管脚接电源)。 (2)将电平开关按表1.l置位,分别测输出电压及逻辑状态。

表1.1 1245 6 图1.1 74LS20功能测试图 2. 异或门逻辑功能测试 (1) 选二输入四异或门电路74HC86, 按图1.2接线,输入端1、2、4、5接电平开关,输出端A 、B 、Y 接电平显示发光二级管。 U1A 接电平开关 图 1.2 74HC86连接图 (2) 将电平开关按表1.2置位,将结果填入表中。 表1.2

相关主题
文本预览
相关文档 最新文档