当前位置:文档之家› (完整版)Verilog实现的基于FPGA的五层楼电梯运行控制逻辑毕业设计论文

(完整版)Verilog实现的基于FPGA的五层楼电梯运行控制逻辑毕业设计论文

(完整版)Verilog实现的基于FPGA的五层楼电梯运行控制逻辑毕业设计论文
(完整版)Verilog实现的基于FPGA的五层楼电梯运行控制逻辑毕业设计论文

五层楼电梯运行控制逻辑设计

摘要:电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器,接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,本设计根据电梯自动控制的要求利用Verilog语言编写并完成系统设计,在利用软件仿真之后,下载到了FPGA上进行硬件仿真。FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了之前的可编程器件门电路数有限及速度上的缺点。

关键词:电梯控制FPGA Verilog软件设计硬件设计

在当今社会,随着城市建设的不断发展,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。目前电梯控制系统主要有三种控制方式:继电路控制系统(早期安装的电梯多位继电器控制系统),FPGACPLD [1] 的控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰,而微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而FPGACPLD控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点 [2] ,倍受人们重视等优点,已经成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传

统继电器控制系统的技术改造。

随着现代化城市的高度发展,每天都有大量人流及物流需要输送,因此在实际工程应用中电梯的性能指标相当重要,主要体现在:可靠性,安全性,便捷快速性。电梯的可靠性非常重要,直接或间接的影响着人们的生产,生活,而电梯的故障主要表现在电力拖动控制系统中,因此要提高可靠性也要从电力拖动控制系统入手。

本次设计尝试用Verilog实现电梯控制器部分,进行了多层次的电梯控制,也进行了软件及硬件上的仿真验证,时序分析以保证设计的正确。在设计中先用软件进行模拟仿真,然后又下载到FPGA开发板上进行硬件仿真,以确保设计的正确性。

1电梯的设计分析

1.1 系统的需求分析及系统描述

设计一个电梯运行控器,该电梯有5层楼,设计的电梯调度算法满足提高服务质量、降低运行成本的原则;电梯的内部有一个控制面板,它负责按下请求到的楼层,并且显示当前尚未完成的目的地请求,当到达该楼层以后自动撤销本楼层的请求,即将面板灯熄灭;除1层和5层分别只有上和下按钮外,其余每个楼层(电梯门口旁)的召唤面板都有两个按钮,分别指示上楼和下楼请求。当按下后,对应按钮灯亮。如果电梯已经到达该楼层,按钮灯熄灭;电梯的外部面板会显示电梯当前所在的楼层,及上行还是下行(暂停显示刚才运行时的状态);电梯向一个方向运行时,只对本方向前方的请求进行应答,直到本方向前方无请求时,才对反方向的请求进行应答。当前内部控制面板上有的请求,只要经过所在楼层均会立即响应.

在所有内部外部请求都已完成后,电梯转入等待。

1.2 电梯的模型图

1.3 电梯工作过程的具体描述

当电梯开始启动的时候,将当前所处的楼层置为第一层,电梯为暂停状态,方向向上,然后等待控制器的调度;等待过程当中(FLOOR保持在该楼层),首先去判断当前楼层(第i层)以上的楼层是否发出召唤或者已经有人已经发请求,若有则向上运行;否则以同样的方法判断是否向下运行;若不向下运行则重复等待状态(故向上运行的优先级要高于向下运行);保持该状态循环进行操作直到检测到有向上或向下的任务;

若已经判明要向上运行,则首先将运行停止状态置为运行,且标志向上运行,控制LED在该楼层亮T时间后将所在楼层加1,这时新的当前楼层(第i+1层)灯亮起,接着电梯检索去判断当前楼层是否发出向上召唤或者已经有人已经发出目的地为第i+1层的请求,若有则在第i+1层停靠;否则检索去判断第i+1层以上的楼层是否发出召唤或者已经有人已经发出目的地为第i+1层以上的请求,若有则向上运行;否则(必定是有第i+1层向

下的请求)在第i+1层,向下运行过程同理。[3] 1.4 电梯控制的流程图

K1: 当前层i以上有召唤请求或者电梯内部目的地请求有>i的请求;

K2: 当前层i以下有召唤请求或者电梯内部目的地请求有

K4: 所到达的i层为目的地或者i层有向下的请求;

1.5 状态设置

S0 — S14 共15个状态[4]:

S0:初始化,电梯位于1楼,清除各请求无条件转入下一状态S1等待

S1:等待状态,判断K1,若满足转入S3向上运行,不满足,转入S2判断

S2:判断K2,若满足转入S9向下运行,不满足返回S1继续等待判断S3:电梯向上运行修改状态信息无条件转入下一状态S4计数

S4:运行时间计数器开始计数,计数完毕转入S5,否则继续计数

S5:修改状态信息,楼层数加1,转入S6判断

S6:判断K3,若满足转入S8停止,不满足转入S7判断

S7:判断K1,若满足转入S4继续运行,不满足转入S8停止

S8:暂停并开始计数时间,计数完毕转入S1,否则继续停在S8

S9:向下运行,修改状态信息,无条件转入下一状态S10

S10:运行时间计数器开始计数,技术完毕转入S11,否则继续计数S11:修改状态信息,楼层数减1,转入S12判断

S12:判断K4,若满足转入S14停止,不满足转入S13判断

S13:判断K2,若满足转入S10继续运行,不满足转入S14停止

S14:暂停并开始计数时间,计数完毕转入S1,否则继续计数

2电梯的设计实现[5]

2.1 Verilog 语言[6]描述的各个模块说明

2.1.1电梯内部目的地请求记录indoor_call

input:

set_dest:当按下对应楼层的目的地请求且当前电梯所停靠的

cur_floor不是所请求的目的地时,便可以记录这个请求。

rst:在电梯进入初始状态时,撤销记录中的目的地请求。

ce:在电梯进入暂停在cur_floor状态时ce=1;撤销cur_floor

的目的地请求并记录在当前状态下该楼层的目的地请求。

cur_Floor:当前楼层

output:

get_dest: 输出当前未完成的目的地请求。

2.1.2电梯外部召唤请求记录outdoor_call

input:

set_call:当按下对应楼层的召唤请求且当前电梯未停在该楼层

cur_floor时,便可以记录这个召唤。

rst:当电梯进入初始状态时,撤销目的地面板上的请求。

ce:在电梯进入暂停在cur_floor的状态时ce=1,控制撤销

cur_floor 的所在所在召唤请求。

cur_Floor:当前楼层作为判断的条件。

output:

get_call: 输出当前未完成的召唤请求。

2.1.3当前楼层current_floor

input:

rst: 初始状态时电梯所处的楼层归为第一层。

inc_Floor: 控制电梯在向上运行时楼层加1。

red_Floor: 控制电梯在向下运行时楼层减1。

output:

cur_Floor:输出显示当前电梯所在楼层。

2.1.4显示上下楼up_down

input:

rst:初始时电梯的运行方向向上。

up_d:当其有一个正向的电平跳变时,电梯的运行方向由向上变为

向上;有一个负向电平跳变时电梯的运行方向由向下变为向上。

Up=0 ; Down=1

output:

out_state_dir: 输出显示当前或电梯暂停前的运行方向。

2.1.5显示运行停止stop_run

input:

rst: 初始状态时,电梯状态未停止。

stop_r: 当其有一个正向的电平跳变时,电梯状态由停止变为运

行;有一个负向电平跳变时电梯由运行变为停止。Stop=0 ;Run=1

output:

out_state_run: 输出显示当前的电梯运行状态。

2.1.6时间计数器counter

input:

ce_count:当其为0时计数器不计数,但将其初始计数值赋给计数

器,并将0作为结果返回给计数器;当其为1时,计数器开始减

计数,每当来一个clk下降沿时计数值减1,当计数值减为0时

将其返回给控制器。

clk:电路的时钟脉冲。

output:

consignal:返回给控制器的反馈信号,当其为1时,表明计数完毕。

2.1.7条件判断condition_judge

input:

sel_con:当其为00(K1)是判断cur_floor以上是否还有目的地

请求或者召唤请求;当其为01(K2)时,判断cur_floor以下是

否还有目的地请求或者召唤请求;当其为10(K3)时,判断第

cur_floor层是否还有目的地请求或者向上的召唤请求;当其为

11(K4)时,判断第cur_floor层是否还有目的地请求或者向下

的召唤请求。

get_dest:未完成的目的地请求。

get_call:未完成的召唤请求。

clk:时钟脉冲。

cur_Floor:当前楼层,作为判断条件。

output:

result:是把sel_con中对应条件判断的结果送给控制器。

2.1.8中心控制模块control

input:

clk: 时钟脉冲。

reset复位端,低电平有效,将电路状态清0。

consignal:来自counter模块的反馈信号。

result_Con:来自condition模块的反馈信号。

output:

rst,sel_Con,inc_Floor,red_Floor,ce,up_d,stop_r, ce_Count

为向其它模块的输出控制信号。

2.2 顶层原理图

3 测试

3.1 test1 测试方案

初始化,3楼有向上请求,目的地请求为5楼,观察到达5楼后是否会停止;100#后3楼有向下请求,目的地为2楼,观察是否到达3楼并停止等人然后到2楼;100#后1楼有向上请求,目的地为4楼,观察最后是否会停在4楼。

Input:

Resul:

波形图:

3.2 test2 测试方案

初始化,3楼有向上请求,目的地请求为5楼,观察到达5楼后是否会停止;100#后3楼有向下请求,目的地为2楼和5楼,观察是否到达3楼并停止等人然后是先到2楼还是5楼(测试上下楼的优先级);100#后1楼有向上请求,目的地为4楼,观察最后是否会停在4楼。

Input:

Result:

波形图:

通过系统详细的测试,系统的输出结果与我们的预期结果一致,系统并未

出现问题,说明系统基本上是无故障的。

4 结语:

用Verilog硬件描述语言的形式进行数字系统的设计方便灵活,利用EDA 软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误。降低了开发成本,这中设计方法必将在未来的数字系统设计中发挥越来越重的作用。

本设计采用的正式FPGA来控制电梯的逻辑运行,具有编程灵活,性能可靠等优点,而且FPGA在去电后配置数据自动消失,用户可以控制加载进程,在现场修改器件的逻辑功能。在设计过程中我们首先把整个电梯设计根据功能分成若干个功能模块,然后理清个模块的时序,一以便将各个功能模块综合在一起,能够公用书籍总线,使其能正常工作不受干扰,有些程序在仿真的时候其时序是完全正确的,但是当程序下载到芯片上之后就会发现错误了。这主要是因为各个功能在实现时会有延时,但这在仿真时是显示不出来的。因此编程时要注意在选芯片之前,要先将计算出的数据信号先放到数据总线上。

FPGA在实现电梯控制方面比较灵活,可以通过对程序的修改来达到控制多个楼层,本设计在电梯控制方面做的比较全面,比如设计了内外电梯的指示系统和当前电梯运行情况显示,能够满足一般的载客电梯的工作功能需要。

参考文献

[1]John.F.Wakerly.Digital Design Principles and Practices.北

京:高等教育出版社

[2]阎石等.数字电子技术基础.北京:高等教育出版社。

[3]毛法尧等.数字逻辑.武汉:华中科技大学出版社。

[4]邹寿彬等.电子技术基础-数字部分.成都:高等教育出版社

[5]欧伟明等.电子信息系统设计.西安.西安电子科技大学出版社

[6]夏宇闻.Verilog数字系统设计教程.北京.北京航空航天大学出版社

课程设计总结:

通过学习这门课程,我们了解到数字系统设计的基本步骤和其在实现过程当中必须注意的各个方面。以前的课程较多的停留在理论的高度上,而这次课程设计使我们真正认识到如何才能成为真正的硬件设计师。

在课程设计的实践当中,我们的电梯设计也可谓经历了风风雨雨,在ISE 中的语言设计过程当中进行的还算顺利的情况下,经过软件模拟成功以后很快就可以进行硬件的综合仿真了。但是并不代表着硬件仿真也可以了,最后经过多次调试发现在cur_floor中加入时钟变量才能使其稳定变化。

成功的喜悦之情难以言表,做工程的确是有苦有甜。在这个过程当中,周老师给了我们很大的帮助,特别是反复强调要注意硬件的设计要考虑实现以及后模拟的重要性。我们在将来的实践过程当中一定注意以上的问题。着门课程使我对硬件设计产生了浓厚的兴趣,这必将成为推动我在这个领域前进的不竭动力。

再次感谢周老师在这次课程设计中给予我的帮助,周老师在百忙中抽出时间给我详细讲了有关状态机的设计,并提出多种设计思路,使我受益匪浅。

附各个模块Verilog源代码:

(1)indoor_call:

module indoor_call( set_dest,rst,ce,cur_Floor,get_dest );

input [4:0] set_dest;

input [2:0] cur_Floor;

input rst,ce;

reg [4:0] get_dest;

always @ (rst or ce or set_dest[0] or cur_Floor) begin

if(rst==1)

get_dest[0]=0;

else

begin

if(set_dest[0]==0)

begin

if(ce==0||cur_Floor!=1)

get_dest[0]=1;

else

get_dest[0]=0;

end

else

begin

if(ce==1&&cur_Floor==1)

get_dest[0]=0;

end

end

end

always @ (rst or ce or set_dest[1] or cur_Floor) begin

if(rst==1)

else if(set_dest[1]==0)

begin

if(ce==0||cur_Floor!=2)

get_dest[1]=1;

else

get_dest[1]=0;

end

else

begin

if(ce==1&&cur_Floor==2)

get_dest[1]=0;

end

end

always @ (rst or ce or set_dest[2] or cur_Floor) begin

if(rst==1)

get_dest[2]=0;

else if(set_dest[2]==0)

begin

if(ce==0||cur_Floor!=3)

get_dest[2]=1;

else

get_dest[2]=0;

end

else

begin

if(ce==1&&cur_Floor==3)

get_dest[2]=0;

end

end

always @ (rst or ce or set_dest[3] or cur_Floor) begin

if(rst==1)

get_dest[3]=0;

else if(set_dest[3]==0)

begin

if(ce==0||cur_Floor!=4)

get_dest[3]=1;

else

get_dest[3]=0;

end

else

begin

if(ce==1&&cur_Floor==4)

get_dest[3]=0;

end

end

always @ (rst or ce or set_dest[4] or cur_Floor) begin

if(rst==1)

get_dest[4]=0;

else if(set_dest[4]==0)

begin

if(ce==0||cur_Floor!=5)

get_dest[4]=1;

else

get_dest[4]=0;

end

else

begin

if(ce==1&&cur_Floor==5)

get_dest[4]=0;

end

end

endmodule

(2) outdoor_call

module outdoor_call( set_call,rst,cur_Floor,ce,get_call );

input [7:0] set_call;

input [2:0] cur_Floor;

input rst,ce;

output [7:0] get_call;

reg [7:0] get_call;

always @ (rst or ce or set_call[0] or cur_Floor)

begin

一种矢量伺服电梯门机控制器说明书

CABR Construction Machinery Technology Co.,Ltd 矢量伺服门控制器 用户手册 CABR-SCSE-01矢量伺服门控制器 200V级 为了安全使用本产品,请务必阅读该说明书,理解使用方法后,正确使用。请妥善保管该说明书,并将其交至最终用户手中。 LangFang CABR Construction Machinery Technology Co.,Ltd.

前言 感您使用CABR-SCSE系列矢量伺服门控制器! 本使用说明书详细叙述了关于CABR-SCSE系列矢量伺服门控制器在安装、运行过程中的相关使用指导及注意事项。 在使用前,请认真阅读本使用说明书,理解并掌握使用方法后,正确使用。

拿到产品时确认 ●受损或缺少部件的矢量伺服门控制器,切勿安装! 注意 ●搬运时,请托住机体底部。 ●请安装在金属等阻燃物体上。 ●请不要安装在可燃物附近。 ●安装过程中,请避免碎棉纱、纸、木屑、金属、液体等异物侵入矢量伺服门 控制器或附着在矢量伺服门控制器上。 危险 ●请勿拆卸矢量伺服门控制器的外壳,有高压,有触电危险! ●接线前,请确认输入电源是否处于断开状态! ●接线作业必须由电气工程人员进行! ●接地端子请一定要可靠接地! 警告 ●请确认供电电源的电压与矢量伺服门控制器的额定电压是否一致,否则可能 损坏设备或引发火灾! ●请勿对矢量伺服门控制器进行耐电压试验! ●请勿将供电电源线接到矢量伺服门控制器的U、V、W端子上! ●通电中,请勿变更接线或拆装端子!

目录 一、矢量伺服门控制器简介 (1) 1、矢量伺服门控制器型号和铭牌的确认 (1) 2、产品的外观 (2) 二、安装说明 (3) 1、使用环境 (3) 2、安装 (3) 3、螺钉规格 (4) 4、配线注意事项 (4) 5、主电路、接地端子的配线 (5) 6、控制电路端子的配线 (5) 7、通讯端子的配线 (5) 三、操作说明 (6) 1操作面板各部分的名称和功能 (7) 2电机参数调谐 (8) 3全闭环位置控制 (9) 4门宽自学习 (10) 5运行曲线调整 (11) 6正常运行和试运行 (12) 7 堵转报警调整 (12) 8力矩调整 (12) 9 速度调整 (13) 10 收放门刀微调 (13) 11功能代码 (13) F1.基本参数 (13) F2.距离参数 (13) F3.速度参数 (14) F4.时序参数 (14) F5.扭矩参数 (14) U1.状态监视 (15) U2.端子监视 (15) U3.故障记录 (16) U4.当前故障信息记录 (16) OP:系统操作参数 (17) 12故障诊断及对策 (17)

基于FPGA的Verilog HDL数字钟设计 -

基于FPGA的Verilog HDL数字钟设计 专业班级姓名学号 一、实验目的 1.掌握可编程逻辑器件的应用开发技术——设计输入、编译、仿真和器件编程; 2.熟悉一种EDA软件使用; 3.掌握Verilog设计方法; 4.掌握分模块分层次的设计方法; 5.用Verilog完成一个多功能数字钟设计; 6.学会FPGA的仿真。 二、实验要求 ?功能要求: 利用实验板设计实现一个能显示时分秒的多功能电子钟,基本功能: 1)准确计时,以数字形式显示时、分、秒,可通过按键选择当前显示时间范围模式; 2)计时时间范围00:00:00-23:59:59 3)可实现校正时间功能; 4)可通过实现时钟复位功能:00:00:00 扩展功能: 5)定时报:时间自定(不要求改变),闹1分钟(1kHz)---利用板上LED或外接电路实现。 6)仿广播电台正点报时:XX:59:[51,53,55,57(500Hz);59(1kHz)] ---用板上LED或外接 7)报整点时数:XX:00:[00.5-XX.5](1kHz),自动、手动---用板上LED或外接 8)手动输入校时; 9)手动输入定时闹钟; 10)万年历; 11)其他扩展功能; ?设计步骤与要求: 1)计算并说明采用Basys2实验板时钟50MHz实现系统功能的基本原理。 2)在Xilinx ISE13.1 软件中,利用层次化方法,设计实现模一百计数及显示的电路系 统,设计模块间的连接调用关系,编写并输入所设计的源程序文件。 3)对源程序进行编译及仿真分析(注意合理设置,以便能够在验证逻辑的基础上尽快 得出仿真结果)。 4)输入管脚约束文件,对设计项目进行编译与逻辑综合,生成下载所需.bit文件。 5)在Basys2实验板上下载所生成的.bit文件,观察验证所设计的电路功能。

基于电梯控制方式分析与研究

一、电梯控制方式分析 随着科学技术的不断发展,电梯控制技术也经历了从简单到复杂,从单一到集群的变化。控制方式也有了非常大的进步,目前主要的控制方式有继电器控制、微机控制、可编程逻辑控制器控制等。 二、电梯逻辑控制问题分析 电梯的控制分为两个方面:首先是控制传动系统,它以给定的速度曲线,使用模拟量和数字量控制装置,根据曳引电动机不同的调速方式构成开环或者闭环速度反馈控制系统,最终实现了电梯运动的状态控制。其次是电梯作为多层、长距的交通工具,仅仅对传动系统的控制并不能满足实际的运行需要,电梯要能够实时接受来自不同的厅站、井道、轿厢、机房等位置、不同的性质外部信号,并立即按照一定的逻辑关系进行综合处理,最终将其处理结果反映到传动控制的系统中以控制电梯运行。例如电梯在同一时刻接受了来自不同层站的要梯信号,一方面会有上行的也有下行的,那么控制系统应该尽量考虑减少电梯的起动次数,以缩短乘客等候电梯时间为原则,这样才能使电梯预先回应前一部分的召唤,同时把另一部分的召唤暂时的记忆下来,等待电梯响应结束前一批召唤后然后再自动地响应其记忆中的召唤信号。另一方面电梯在使用过程中,无论哪个安全部位出现了异常响应,都会发出相应的控制信号使电梯停止运行,从而保证了乘客的安全。电梯的逻辑控制性能决定了电梯操纵的自动化程度的高低。电梯的操纵控制方式有:按钮控制、信号控制、集选控制、梯群控制和并联控制等几种不同的控制方式。不同控制方式中,逻辑控制任务并不完全一样,但是必须都能实现如下几个基本功能:①轿内指令功能。司机和乘客在箱内控制电梯运行方向以及到达层站。②厅外呼梯功能。使用人员在箱外呼叫电梯驶往所在层执行运送的任务。③减速平层功能。当电梯到达目的层的前面某个地方时,电梯自动开始减速,直到到达目的层平面,电梯停止并自动开门,方便乘客进入。④选层、定向功能。电梯接受到若干个不同的箱内、厅外的指令的,控制器能根据目前电梯的状态来选择最合理的运行方向及停靠层站。 ⑤指示功能。电梯当前所处位置能在各层厅站及轿内指示,且能在某按钮信号被响应时,消去其记忆。⑥保护功能。电梯出现异常情况,比如超速、断绳、越限、运行中开门、过载等现象时,控制器能够让电梯自动停止以实现保护。⑦检修功能。电梯应该有检修开关,检修主令元件,以便于检修人员在机房、轿顶或轿内独立控制电梯运行。 三、如何选择控制方式 1、继电器控制电梯。传统的电梯逻辑控制系统由继电器线路组成。其存在故障率比较高、维护较困难、控制装置体积大等问题,因此近几年微机和可编程控制器组成的电梯逻辑控制系统已成为主要的发展方向。不同的使用场所渐渐都用微机及可编程控制器替代了继电器成为电梯逻辑控制方式。但是继电器逻辑控制线路是由触点互相组合完成逻辑控制功能的,其原理直观,分析方便,分析这种系统有助于理解电梯的逻辑控制关系。因为目前电梯已大多采用多微机网络控制系统,此种控制方式的串行通信、智能化管理、变频调速等技术使电梯的可靠性与舒适感大大提高,所以传统的继电器控制系统已逐渐退出了历史的舞台。但是电梯的控制逻辑还是从继电器控制系统逐渐进化而来的。因为可编程逻辑控制器梯形图结构与继电器回路图极为相似,而且在接触的电梯控制系统中,多少还有一些继电器回路,所以作为一个电梯维修技术人员,有必要对继电器控制系统有一些了解。 2、微机控制。微机控制的功能运用到电梯控制系统上,主要有以下几种功能取代了全部或部分的继电器以及传统选层方法,结合光电编码器实现了数字选层,方便解决调速问题,实现复杂的调配管理。微机控制电梯的特点有如下几方面:(1)采用无触点逻辑线路,提高了系统的可靠性,降低了维修费用,提高了产品质量;(2)可以灵活地改变控制程序,可以适应不同的使用要求,最终实现控制自动化;(3)可以实现故障显示和记录,维修变得简便,减少了使用故障时间,提高了运行的效率;(4)用微机进行调速,提高了乘坐电梯的舒适感;(5)用微机实现群控管理,合理调配电梯,可以提高电梯的运行效率,节省能源;(6)微机控制装置相对继电器控制装置体积较小,减少了控制装置的占地面积。根据电梯的类型、功能要求,微机控制电梯的方式分为单微机、双微机、三微机以及群控微机方式。(1)单微机控制方式只有1个cpu作为控制系统,根据控制器的不同又可以分为单板机和单片机控制方式。(2)双微机控制方式就是在交流调压调速电梯中,采用2 个微机组成交流电梯控制系统,这样就可使电梯性能得到较大改善,从而使电梯的舒适感提高,停层性精确,可靠性提升。这种控制方式分别由控制系统c pu 和拖动系统cpu 以及部分继电器组成整个电梯控制系统。可以实现起制动的闭环,稳速开环控制,也可以实现全闭环的控制。此种控制方式运行的舒适感和停层精度大大提高。(3)三微机控制方式也称为多微机控制方式。采用 3 个cpu 来控制电梯,系统由驱动部分、控制和管理部分、串行传输部分3 个控制系统组成。(4)群控电梯的微机控制方式是使用微机对群控电梯进行的控制,方式也各有不同,使用微机的数量也有所不同。 3、可编程逻辑控制器电梯控制。可编程逻辑控制器是一种专门设计的从事逻辑控制的计算机系统。因为可编程逻辑控制器具有性能稳定、抗干扰能力强、设计配置灵活等特点,所以在工业控制方面得到了十分广泛的应用。在传统继电器系统的改造工程

电梯控制系统分析工作原理

0引言 一种以电动机为动力的垂直升降机,装有箱状吊舱,用于多层建筑乘人或载运货物。也有台阶式,踏步板装在履带上连续运行,俗称自动电梯。服务于规定楼层的固定式升降设备。它具有一个轿厢,运行在至少两列垂直的或倾斜角小于15°的刚性导轨之间。轿厢尺寸与结构形式便于乘客出入或装卸货物。 1电梯系统工作原理 电梯的安全保护装置用于电梯的启停控制;轿厢操作盘用于轿厢门的关闭、轿厢需要到达的楼层等的控制;厅外呼叫的主要作用就是当有人员进行呼叫时,电梯能够准确达到呼叫位置;指层器用于显示电梯达到的具体位置;拖动控制用于控制电梯的起停、加速、减速等功能;门机控制主要用于控制当电梯达到一定位置后,电梯门应该能够自动打开,或者门外有乘电梯人员要求乘梯时,电梯门应该能够自动打开。 电梯控制系统结构图如图1—1所示:

电梯信号控制基本由PLC软件实现。输入到PLC的控制信号有运行方式选择(如自动、有司机、检修、消防运行方式等)、运行控制、轿内指令、层站召唤、安全保护信号、开关门及限位信号、门区与平层信号等。 电梯信号控制系统如图1—2所示:

2 继电器控制系统 电梯继电器控制系统就是最早的一种实现电梯控制的方法。但就是,进入九十年代,随着科学技术的发展与计算机技术的广泛应用,人们对电梯的安全性、可靠性的要求越来越高,继电器控制的弱点就越来越明显。 电梯继电器控制系统存在很多的问题:系统触点繁多、接线线路复杂,且触点容易烧坏磨损,造成接触不良,因而故障率较高;普通控制电器及硬件接线方法难以实现较复杂的控制功能,使系统的控制功能不易增加,技术水平难以提高;电磁机构及触点动作速度比较慢,机械与电磁惯性大,系统控制精度难以提高;系统结构庞大,能耗较高,机械动作噪音大;由于线路复杂,易出现故障,因而保养维修工作量大,费用高,而且检查故障困难,费时费工。电梯继电器控制系统故障率高,大大降

最新华起电梯控制器使用手册

华起电梯控制器使用 手册

建筑智能化解决方案资料

建筑智能化解决方案 电梯控制器使用手册 (第一版)

第1章产品功能介绍 1.1 产品简介 本电梯控制器采用非接触式IC/ID 卡感应技术进行身份识别,可实现人员进出电梯的控制。支持WG读头和485读头,当持卡人进入电梯后,在感应器上刷卡,电梯控制器判断此卡是否有权限、时限,如果有权则允许登梯,无权不能登梯。对于只有单层权限的用户,刷卡后无须按键,电梯直达用户有权限的那一楼层,对于具有多层权限的用户,刷卡后电梯控制器释放用户有权限的楼层按键,等待用户按键(等待时间5—6秒)。电梯控制器主板可控制16个楼层,增加一块扩展板可增加控制16个楼层,总共可控制32个楼层,如果楼层在16层以内,就不需要增加扩展板。本电梯控制器与计算机之间采用RS-485 方式通讯,还可与中继器配合使用,灵活组成不同应用规模的电梯控制系统。 1.2 产品功能特点 ?读头支持WG读头和485读头,WG读头接口,能够智能识别标准WIEGAND 26/32/40协议格式,485读头接口只能接公司生产的485读头,读头接口提供5VDC 或12VDC 读头工作电源,兼容性强; ?兼容多种技术生物识别技术、指纹识别技术、密码键盘、感应式IC、ID卡;?乘梯验证方式验证权限时限乘梯,无权或者不在有限时限内不能乘电梯;?远程控制可以远程控制电梯到达某一层; ?时限灵活设置支持256个时间段,16个时间组,128个节假日; ?定时启用、关闭电梯控制器支持非节假日定时启用、关闭电梯控制器,在关闭状态下无须刷卡即可乘电梯;

?消防联动接收一路常闭消防联动输入信号,当消防信号启动后,电梯控制器屏蔽刷卡功能,电梯恢复到原状态,即不需要刷卡就可以乘电梯(提供的消防信号应该是无源的干接点信号),消防信号输入端口在出厂时已短接; ?脱机工作采用“分散控制、集中管理”的原则设计,设定好控制器的参数、权限、时限后,各控制器可脱离通讯网络和管理计算机单独运行,可靠性高; ?掉电保护采用先进的NRAM 实时时钟模块,掉电后时钟不紊乱,记录数据采用EEPROM存储,掉电后数据可保存10 年不变; ?双隔离电源配有专用电源,两组独立回路供电,内部电路和外部电路使用不同电源,稳定性好,抗干扰性强,可靠性高; ?有源隔离通讯通讯接口采用有源隔离方式,减少通讯干扰; 第2章产品说明 2.1 系统结构 TCP/I

电梯按控制方式及各种方式原理(对电梯控制方式迷惑的朋友阅读)

电梯按控制方式及各种方式原理 按控制方式分类 ①手柄操纵控制电梯。此种电梯由司机操纵轿厢内的手动开关,实现轿厢运行的控制。电梯轿门和厅门的开关有自动和手动两种型式。对于自动门电梯,当轿厢运行到平层区域时,司机将手柄开关回到零位,电梯就会换速自动平层,自动开门;对于手动门电梯,则需由司机手动将门关闭或打开。 ②按钮控制电梯。这是一种通过操纵层门外侧按钮或轿厢内按钮发出指令,使轿厢停靠层站的电梯。这种电梯也有自动和手动两种型式。自动门电梯具有自动平层、开关门功能。对于手动门电梯,在电梯到站平层后,需要有人将门打开,并通过人工将门关闭以后,电梯得到按钮指令才可运行。 ③信号控制电梯。这是一种由电梯司机操纵轿厢运行的电梯,具有将层门外上下召唤信号、轿厢内选层信号和其他各种专用信号加以综合分析判断的功能,因而自动控制程度较高。 ④集选控制电梯。此种电梯自动控制程度更高,可以实现将层门外上下召唤信号、轿厢内选层信号和其他各种专用信号加以综合分析判断后自动决定轿厢运行,无需司机控制。集选控制电梯一般均设“有/无司机”操纵转换开关,可根 据使用需要灵活选择。如人流高峰或特殊需要时,可转换为有司机操纵,从而成为信号控制电梯。在其他情况下作正常行驶时,可转为无司机操纵,即为集选控制电梯。 ⑤向下集选控制(向下集中控制)电梯。这种电梯的特点是,对于各层站的呼梯信号,轿厢只有在向下运行时才能顺向应 答召唤停靠。 ⑥并联控制电梯。将两三台电梯集中排列,共用层门外召唤信号,按规定顺序自动调度,确定其运行状态。采用此种控制方式的电梯,在无召唤信号时,在主楼面有一台电梯处于关门备用状态,另外一台或两台电梯停在中间楼层随时应答厅外呼梯信号,前者常称为基梯,后者称为自由梯。当基梯起动运行后,自由梯可自动起动至基站等待。若厅外其他层站有呼梯信号时,自由梯则前往应答与其运行方向相同的所有召唤信号。对于与自由梯运行方向相反的召唤信号,则由基梯前往应答。如果两台(或三台)电梯都在应答两个方向的呼梯信号时,先完成应答任务的电梯返回主楼面备用。这种控制方式有利于提高电梯运输效率,节省乘客候梯时间。 ⑦群控电梯。将多台电梯进行集中排列,并共用层门外按钮,按规定程序集中调度和控制的电梯。采用此种控制方式,是基于建筑物内不同时段客流量不均匀。一般早、晚和中午会出现客流高峰,平时上下往返交错为中等客流量,夜间、清晨客流量少。利用轿厢底下的负载自动计量装置及其相应的计算机管理系统,进行轿厢负载计算,并根据上下方向的停站数、厅外呼梯信号和轿厢所处位置,选择最适合客流量的输送方式,避免轿厢轻载起动运行、满载中途呼梯停车和空载往返。在客流量逐渐减少的夜间和清晨,还可实现电梯运行台数的相应减少,在返回基站后,不运行的电梯经过一定时间可切断电源。因此,这种控制方式有利于增加电梯的运输能力,提高效率,缩短乘客候梯时间,减少电能消耗,适用于配用电梯在3台以上的高层建筑中。 ⑧智能控制电梯。这是一种先进的应用计算机技术对电梯进行控制的群控电梯。其最大特点是,它能根据厅外召唤,给梯群中每部电梯作试探性的分配,以心理性等候时间最短为原则,避免乘客长时间等候和将厅外呼梯信号分配给满载性较大的电梯,使乘客候梯失望,从而提高了预告的准确性和运输效率,达到电梯的最佳服务。此外,由于电梯采用了微机控制,取代了大量的继电器,使故障率大大降低,控制系统的可靠性大大增强。

电梯门机控制器使用说明书

安全注意事项 在安装和设备投入运行前,请仔细阅读安全说明和警告,避免对人员、设备造成伤害及损坏。 本说明书有关安全注意事项的的等级分为“注意”和“危险”两级。 注意 危险 :错误使用会有危险,可能会造成 轻伤、中度伤害或财物损失。 :错误使用会有危险,可能会 致人重伤、残废甚至死亡以及 重大财物损失。 1.确认及安装 注意 ●受损的变频器和零部件不全的变频器,切勿安装。 ●请安装在金属等不易燃烧的材料上,以免发生火灾。 ●安装时请不要抓在外壳或两端接线端子上,当心掉下来压脚,有受伤的危险。 ●请确定已安装牢靠。否则,可能会掉下来砸伤人。 2.布线 注意 ●请确认交流主回路电源的电压与变频器的额定电压是否一致。切勿对变频 器进行耐电压试验。 ●请勿将电源接到输出U、V、W端子上。

危险 ●接线前,请确认输入电源是否处于OFF状态。 ●只允许连接交流单相220V的输入电源,本设备必须接地(NEC和其它应用标准)。, ●非专业电气工程人员,请勿进行接线作业。 3.操作和运行 注意 ●因散热片温度会变得很高,所以,请不要触摸,以免被烫伤。 ·请勿随意变更变频器的设定,本变频器在出厂时已经进行了适当的设定。危险 ●请务必在装上外壳后再接通电源。接通电源前请将开关置于OFF位置。 ●变频器通电时,即使在停机状态也不要触摸变频器的端子,以免触电。4.维护和检查 危险 ●检查要在切断电源5分钟后进行,否则有触电的危险。 ●检查和维护要由专业人员进行 ●变频器的端子在通电情况下切勿触摸,端子上有高电压,非常危险。 ●进行检查、维护作业时请使用绝缘工具,并避免手上佩带手表、戒指等金属物。 5.其它 危险 ●绝对禁止对变频器进行改造,以免发生伤亡事故。

(完整版)Verilog实现的基于FPGA的五层楼电梯运行控制逻辑毕业设计论文

五层楼电梯运行控制逻辑设计 摘要:电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器,接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,本设计根据电梯自动控制的要求利用Verilog语言编写并完成系统设计,在利用软件仿真之后,下载到了FPGA上进行硬件仿真。FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了之前的可编程器件门电路数有限及速度上的缺点。 关键词:电梯控制FPGA Verilog软件设计硬件设计 在当今社会,随着城市建设的不断发展,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。目前电梯控制系统主要有三种控制方式:继电路控制系统(早期安装的电梯多位继电器控制系统),FPGACPLD [1] 的控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰,而微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而FPGACPLD控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点 [2] ,倍受人们重视等优点,已经成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传

统继电器控制系统的技术改造。 随着现代化城市的高度发展,每天都有大量人流及物流需要输送,因此在实际工程应用中电梯的性能指标相当重要,主要体现在:可靠性,安全性,便捷快速性。电梯的可靠性非常重要,直接或间接的影响着人们的生产,生活,而电梯的故障主要表现在电力拖动控制系统中,因此要提高可靠性也要从电力拖动控制系统入手。 本次设计尝试用Verilog实现电梯控制器部分,进行了多层次的电梯控制,也进行了软件及硬件上的仿真验证,时序分析以保证设计的正确。在设计中先用软件进行模拟仿真,然后又下载到FPGA开发板上进行硬件仿真,以确保设计的正确性。 1电梯的设计分析 1.1 系统的需求分析及系统描述 设计一个电梯运行控器,该电梯有5层楼,设计的电梯调度算法满足提高服务质量、降低运行成本的原则;电梯的内部有一个控制面板,它负责按下请求到的楼层,并且显示当前尚未完成的目的地请求,当到达该楼层以后自动撤销本楼层的请求,即将面板灯熄灭;除1层和5层分别只有上和下按钮外,其余每个楼层(电梯门口旁)的召唤面板都有两个按钮,分别指示上楼和下楼请求。当按下后,对应按钮灯亮。如果电梯已经到达该楼层,按钮灯熄灭;电梯的外部面板会显示电梯当前所在的楼层,及上行还是下行(暂停显示刚才运行时的状态);电梯向一个方向运行时,只对本方向前方的请求进行应答,直到本方向前方无请求时,才对反方向的请求进行应答。当前内部控制面板上有的请求,只要经过所在楼层均会立即响应.

电梯结构原理及控制系统分析范文

电梯结构原理及控制系统分析

第一章绪论 随着城市建设的不断发展,高层建筑不断增多,电梯在国民经济和生活中有着广泛的应用。电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。实际上电梯是根据外部呼叫信号以及自身控制规律等运行的,而呼叫是随机的,电梯实际上是一个人机交互式的控制系统,单纯用顺序控制或逻辑控制是不能满足控制要求的,因此,电梯控制系统采用随机逻辑方式控制。当前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式用可编程控制器(PLC)取代微机实现信号集选控制。从控制方式和性能上来说,这两种方法并没有太大的区别。国内厂家大多选择第二种方式,其原因在于生产规模较小,自己设计和制造微机控制装置成本较高;而PLC可靠性高,程序设计方便灵活,抗干扰能力强、运行稳定可靠等特点,因此现在的电梯控制系统广泛采用可编程控制器来实现。 电梯是将机械原理应用、电气技术、微处理器技术、系统工程学、人体工程学及空气动力学等多学科和技术集于一体的机电设备,它是建筑物中的永久性垂直交通工具。为满足和提高人们的生活质量,电梯的智能化、自动化技术迅速发展。特别是随着计算机网络技术、微电子和电力电子技术的飞速发展,现代电梯的技术含量日益提

高。在改进电梯性能的同时,对电梯的设计、管理和维护人员提出了更高的要求。 第二章电梯的结构 2.1 电梯的基本结构 电梯是机与电紧密结合的复杂产品,是垂直交通运输工具中使用最普遍的一种电梯,其基本组成包括机械部份和电气部份,结构包括四大空间(机房部分、井道和底坑部分、围壁部分和层站部分)和八大系统(曳引系统、导向系统、门系统、轿厢、重量平衡系统、电力拖动系统、电气控制系统、安全保护系统)组成。 电梯基本结构如图2—1所示:

电梯控制系统设计设计说明

电梯控制系统设计设计说明

第 1 页共 3 页 编号: 毕业设计说明书 题目:电梯控制系统设计 院(系):电子工程与自动化学院 专业:电子信息科学与技术专业 学生姓名: 学号:0900840218 指导教师:李莉 职称:讲师 题目类型:理论研究实验研究工程设计√软件开发 2013年5月20日

第 3 页共 39 页 摘要 本设计主要利用AT89S52单片机,实现电梯控制系统的设计。单片机与电机驱动电路的结合完成了电梯基本的升降、楼层停靠、方向选择、时间控制等基本功能,研究并实现了在上位机的模式下通过LABVIEW的远程监测的方法,完成了系统样机的设计与制作。 本设计参照了通用电梯的设计标准,有良好的操作界面和通用的外部接口,具有人性化设计,实现较好的外设兼容性。同时在系统样机中完成的其它设计研究还包括,利用LED和蜂鸣器组成的简单电路实现电梯意外声光报警、利用数码管实现电梯楼层显示,利用4x4矩阵键盘实现电梯楼层按键选择,利用LED实现目的楼层的指示,利用MAX232串口电路实现串口通信,来监测电梯实时状态。样机使用的主要器件包括低功耗、高性能的AT89S52单片机,低功耗、低成本、低电压的MAX232,双全桥电机专用驱动芯片L298,共阴极八段数码管,4x4矩阵键盘等,通过比较合理的设计使样机系统基本达到了任务要求,并具有很高的性价比,硬件设计简单可靠。软件部分使用keil软件进行C语言程序编写,用proteus 7软件进行仿真调试。本设计中综合使用了数字电路、模拟电路、高频电路、单片机及编程、硬件逻辑描述、LABVIEW及其应用以及计算机辅助设计(CAD)等多方面的知识,软硬件结合,很好地完成了本科毕业设计任务要求并取得了良好的学习效果。 关键词:AT89C52;单片机;电梯控制系统; C语言

基于FPGA的verilog的电子密码锁设计

一、概述 1.1 电子密码锁的现状 随着我国对外开放的不断深入,高档建筑发展很快,高档密码锁具市场的前景乐观。我国密码锁具行业对密码锁具高新技术的投入正逐年增大,高档密码锁的市场需求也逐年增加。在安防工程中,锁具产品是关系到整个系统安全性的重要设备,所以锁具产品的优劣也关系了整个安防工程的质量和验收。 目前,市场上比较先进的智能电子密码锁分别有:IC卡电子密码锁、射频卡式电子密码锁、红外遥控电子密码锁、指纹识别电子密码锁和瞳孔识别电子密码锁等。IC卡电子密码锁成本低,体积小,卡片本身无须电源等优点占领了一定的市场份额,但是由于有机械接触,会产生接触磨损,而且使用不太方便,在一定程度上限制了它的应用;射频卡式电子密码锁是非接触式电子密码锁,成本也不太高,体积跟IC卡密码锁相当,卡片使用感应电源,重量很轻,技术成熟,受到了广泛的欢迎,但是与IC卡电子密码锁相比,成本偏高;指纹识别电子密码锁和瞳孔识别电子密码锁可靠性很高,安全性是目前应用系统中最高的,但是成本高昂,还没进入大众化使用阶段。 在国外,美国、日本、德国的电子密码锁保密性较好,并结合感应卡技术,生物识别技术,使电子密码锁系统得到了飞跃式的发展。这几个国家的密码锁识别的密码更复杂,并且综合性比较好,已经进入了成熟期,出现了感应卡式密码锁,指纹式密码锁,虹膜密码锁,面部识别密码锁,序列混乱的键盘密码锁等各种技术的系统,它们在安全性,方便性,易管理性等方面都各有特长,新型的电子密码锁系统的应用也越来越广。 基于FPGA的电子密码锁是新型现代化安全管理系统,它集微机自动识别技术和现代安全管理措施为一体,它涉及电子,机械,计算机技术,通讯技术,生物技术等诸多新技术。它是解决重要部门出入口实现安全防范管理的有效措施,适用各种场合,如银行、宾馆、机房、军械库、机要室、办公间、智能化小区、工厂、家庭等。 在数字技术网络技术飞速发展的今天,电子密码锁技术得到了迅猛的发展。它早已超越了单纯的门道及钥匙管理,逐渐发展成为一套完整的出入管理系统。它在工作环境安全、人事考勤管理等行政管理工作中发挥着巨大的作用。在该系统的基础上增加相应的辅助设备可以进行电梯控制、车辆进出控制,物业消防监控、餐饮收费、私家车库管理等,真正实现区域内一卡智能管理。

机械开题报告,设计题目:电梯控制系统的PLC原理图及梯形图设计

机械开题报告,设计题目:电梯控制系统的PLC原理图及梯 形图设计 机械开题报告设计题目:电梯控制系统的PLC原理图及梯形图设计电梯的国内外研究动向及意义从1887年美国奥的斯公司制造出世界上第一台电梯,到中国最早的一部电梯在上海出现,电梯行业在中国迅速发展,由此电梯变成了高层宾馆、商店、住宅、多层厂房和仓库等高层建筑不可缺少的垂直方向的交通工具。随着社会的发展,建筑物规模越来越大,楼层越来越多,对电梯也提出了更高的要求。 随着科技的进步,电梯也更加安全、舒适。然而,人们的追求并没有就此停止下来,仍在不断地进行研究改进。21世纪开始国际开始强调“绿色”,绿色和平,绿色天然,绿色和谐。电梯是载人的机电设备,要实现绿色,也就是强调电梯更舒适、更安全地为人类的生产和生活服务,强调电梯与环境的协调与和谐。 目前意义上的“绿色”,一般是强调“天然”的一面,强调与环境的协调与和谐。电梯属于纯粹的工业产品,其天然性应表现为对环境影响的尽可能小,与环境的协调与平衡,以及电梯本身的人性化。这也应是绿色电梯的发展方向。

(1)智能化。我们这所说的智能化电梯是传统的人工智能是无法胜任的。传统的智能控制是一种技术的事先安排,说到底是一种程序控制,是一种周期性的系统自动控制,实际上还算不上智能。而真正的智能电梯应更具人性化特点,不仅具有传统的人工智能的所有优点,而且还有传统的人工智能无法比拟的东西,具有动念和随机处理各种问题的能力,诸如能根据轿厢内的情况和各层的候梯信息,自动地制定每次最优的运动速度和停车政策;自动选择运动方面;双向语音交流;到达目的层的语音提示等,让乘客有更多的主动性,使大楼交通运输实现真正的人机对话。智能化要求电梯有自动安全检测功能,让电梯自己能够检测到电梯的故障所在,并及时报警予以排除。 (2)安全。运行安全是电梯的根本和关键。可以说,电梯的全部其他工作都是以此为中心展开的,使电梯安全运行更有保障。运行安全不仅要消除电梯启动时较强的电磁辐射,使用安全材料和运行稳定,而且要有一种良好的视觉效果,让每一位乘客在宽敞、明亮轿厢内有安全、舒适的好心情。同时,电梯运行安全也要求电梯在运行中发生故障时,不但要使乘客容易与外界沟通联系,而且电梯本身应当能自动播放让乘客感到放松的音乐,彻底消除产生紧张不安的情绪。当小孩和老人乘坐时,电梯对他们应给予一种如同家人般的照顾,不但让老人和孩子感到方便和舒适,而且更让其家人感

电梯电气原理图

电梯电气原理图 一.概述 不同的电梯,不论采用何种控制方式,总是按轿厢内指令,层站召唤信号要求,向上或向下起动,起行,减速,制动,停站。 电梯的控制主要是指对电梯原动机及 开门机 的起动,减速,停止,运行方向,指层显示, 层站召唤, 轿车内指令, 安全保护等指令信号进行管理。 操纵是实行每个控制环节的方式和 手段。 二.常规 继电器 控制的典型控制环节 1. 自动开关门的控制线路 自动 门机 是安装于轿厢顶上, 它在带动轿门启闭时, 还需通过机械联动机构带动层门与轿门 同步启闭。 为使电梯门在启闭过程中达到快, 稳的要求, 必须对自动门机系统进行速度调节。 当用小型 直流伺服电机 时, 可用电阻串并联方法。 采用小型交流转矩电动机时, 常用加涡流 制动器 的调速方法。 直流电机 调速方法简单, 低速时发热较少, 交流门机在低速时电机发热厉害,对三相电机的堵转性能及绝缘要求均较高。

2. 轿内指令和层站召唤线路 轿内操纵箱上对应每 一层楼 设一个带灯的按钮, 也称指令按钮。 乘客入轿厢后按下要去的目 的层站按钮,按钮灯便亮,即轿内指令登记,运行到目的层站后,该指令被消除,按钮灯熄灭。 电梯的层站召唤信号是通过各个楼层门口旁的按钮来实现的。信号控制或集选控制的电梯,除顶层只有下呼按钮,底层只有上呼按钮外,其余每层都有上下召唤按钮。 3. 电梯的选层定向控制方法 常用的机种如下; 手柄开关定向 井道分层 转换开关 定向 井道永磁开关与继电器组成的 逻辑电路 定向 机械选层器定向 双稳态磁开关和电子 数字电路 定向 电子脉冲式选层装置定向 4. 电梯的定向,选层线路 电梯的方向控制就是根据电梯轿厢内乘客的目的层站指令和各层楼召唤信号与电梯所处层楼位置信号进行比较, 凡是在电梯位置信号上方的轿厢内指令和层站召唤信号, 令电梯定上 行,反之定下行。 方向控制环节必须注意以下几点: 轿内召唤指令优先于各层楼召唤指令而定向。 电梯要保持最远层楼乘客召唤信号的方向运行 在司机操纵时, 当电梯尚未启动运行的情况下, 应让司机有强行改变电梯运行方向的可能性

DTM-04电梯控制器产品说明(楼层型)资料

DTM-04电梯控制器产品说明 1. 产品图片 DTM-04读卡面板 DTM-04控制箱 2. 产品特点 2.1. 特征 电梯控制器不仅适用于酒店电梯管理、办公楼电梯管理和其他类型电梯控制管理,而且使用于一卡通智能管理系统。电梯能通过Mifare卡进行控制和管理。通过在服务器端制作设置卡,可设置电梯参数,开门卡能进入所选择的楼层,而且员工工作时间段和电梯工作时间段也能设置。 2.2. 功能介绍 ●实现酒店门锁与电梯控制器之间相互关联,利于管理 ●可对电梯控制器进行设置,有受控和非受控两种模式选择 ●可对电梯控制器进行参数设置,包括楼层数,碰卡延时时间,进入楼层权限设置等 ●具备楼层选择功能,宾客可根据需要通过选择按钮进入相应楼层 ●具备权限判断功能,只有符合权限的开门卡才能开启电梯服务 ●具备挂失功能,黑名单数目可设1600个 ●具备汇总功能,可对电梯控制器进行开门记录设置,随时查看进出记录。 ●具有开梯查询功能,最多可设置20000条开梯记录。 3. 安装要求

3.1. 电梯要求 ●轿箱顶部提供220V电源2位插座。 ●轿箱顶部能提供足够空间安装及固定DTM控制箱 ●轿箱内部楼层按键面板内、外必须提供足够空间安装及固定DTM-02前主控器及前控电路板盒 ●电梯控制板必须安装在轿箱顶部 ●电梯控制板的楼层按键输入接口必须是干接点信号 ●每个楼层按键开关有2根线连接至电梯控制板,连接头为螺丝插拔式端子 3.2. 电线规格 3.3. 布线要求 在安装DTM前主控器面板的开孔处(安装在轿箱内楼层按键附近)留出N组线(每组两条,总数量是总楼层数+3组,分别是:每个楼层1组,前控制体2组,备用1组),所有的线拉到轿箱顶DTM 控制箱的安装位置;轿箱内各出线处留线长30cm左右,轿箱顶各出线处留线长60cm左右,每组单条线的长度应≤20m。由于8芯网线线径太小,不允许采用。

基于FPGA的VerilogHDL数字钟设计

基于FPGA的Verilog-HDL数字钟设计--

————————————————————————————————作者:————————————————————————————————日期:

基于FPGA的Verilog HDL数字钟设计 专业班级姓名学号 一、实验目的 1.掌握可编程逻辑器件的应用开发技术——设计输入、编译、仿真和器件编程; 2.熟悉一种EDA软件使用; 3.掌握Verilog设计方法; 4.掌握分模块分层次的设计方法; 5.用Verilog完成一个多功能数字钟设计; 6.学会FPGA的仿真。 二、实验要求 功能要求: 利用实验板设计实现一个能显示时分秒的多功能电子钟,基本功能: 1)准确计时,以数字形式显示时、分、秒,可通过按键选择当前显示时间范围模式; 2)计时时间范围00:00:00-23:59:59 3)可实现校正时间功能; 4)可通过实现时钟复位功能:00:00:00 扩展功能: 5)定时报:时间自定(不要求改变),闹1分钟(1kHz)---利用板上LED或外接电路实现。 6)仿广播电台正点报时:XX:59:[51,53,55,57(500Hz);59(1kHz)] ---用板上LED或外接 7)报整点时数:XX:00:[00.5-XX.5](1kHz),自动、手动---用板上LED或外接

8)手动输入校时; 9)手动输入定时闹钟; 10)万年历; 11)其他扩展功能; 设计步骤与要求: 1)计算并说明采用Basys2实验板时钟50MHz实现系统功能的基本原理。 2)在Xilinx ISE13.1 软件中,利用层次化方法,设计实现模一百计数及显示的电路系 统,设计模块间的连接调用关系,编写并输入所设计的源程序文件。 3)对源程序进行编译及仿真分析(注意合理设置,以便能够在验证逻辑的基础上尽快 得出仿真结果)。 4)输入管脚约束文件,对设计项目进行编译与逻辑综合,生成下载所需.bit文件。 5)在Basys2实验板上下载所生成的.bit文件,观察验证所设计的电路功能。 三、实验设计 功能说明:实现时钟,时间校时,闹铃定时,秒表计时等功能 1.时钟功能:完成分钟/小时的正确计数并显示;秒的显示用LED灯的闪烁做指示; 时钟利用4位数码管显示时分; 2.闹钟定时:实现定时提醒及定时报时,利用LED灯代替扬声器发出报时声音; 3.时钟校时:当认为时钟不准确时,可以分别对分钟和小时位的值进行调整; 4.秒表功能:利用4个数码管完成秒表显示:可以实现清零、暂停并记录时间等功能。 秒表利用4位数码管计数; 方案说明:本次设计由时钟模块和译码模块组成。时钟模块中50MHz的系统时钟clk分频产

电梯控制系统方案

深圳市博思凯电子有限公司XX小区 BOSK电梯管理系统方案

目录 第一章概述 (3) 第二章系统需求分析 (4) 第三章系统设计目标及原则 (5) 3.1系统设计目标 (5) 3.2系统设计原则 (5) 第四章系统解决方案及技术描述 (6) 4.1系统概述 (6) 4.2系统基本功能及特点 (6) 4.3系统结构 (8) 第五章设备介绍 (16) 8.DPU-9906楼层信号采集器 (18) 第六章工作原理 (20) 第七章系统设备清单及价格 (23) 第八章工程实施 (24) 第九章售后服务 (26) 第十章质量保证 (27)

第一章概述 1.概述: 物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。LMS9905是专门用于楼宇的电梯控制和集成的电梯专用控制器。通过采用LMS9905对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。 对于访客管理,采用与对讲系统联动控制,即访客接通住户室内分机通话后,住户通过室内分机旁的电梯按钮将信号发送给IC卡层控电梯系统,系统接收到住户的开梯信号后,启动电梯下到一层,并开放住户层(其它层不开放),访客即可进入轿箱按下住户层键,启动电梯只上到住户层。 通过IC卡管理电梯运行,可将闲杂人员阻止在电梯之外;同时,又起到了电梯省电省空耗的环保作用;也减少了出现电梯按键失灵的情况;延长了电梯使用寿命;加强了传统安全管理系统中管理的薄弱的一面;提高了物业的安全等级。 LMS9905基于ELSECURE-lift控制软件平台使用的一个控制模块,它与DPU 系列门禁控制系统相互兼容,可以与DPU9906控制器结合对讲系统,组成一个强大的保安系统网络,来对访客进行有效使用的管理。也可以独立使用来控制电梯。LMS9905可在线运行,可以单机独立运行,即使关闭PC机,LMS9905也可以正

三层电梯控制设计

三层电梯控制设计 Prepared on 24 November 2020

综合实训设计报告信息工程与自动化学院自动化系 设计题目:基于PLC的三层电梯控制系统设计 姓名: 学号: 专业:测控121班 指导老师: 二0一五年七月

引言 随着城市建设的不断发展,城市迅速的崛起,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。它是采用电力拖动方式,将载有乘客或货物的轿厢,运行于垂直方向的两根刚性导轨之间,运送乘客和货物的固定式提升设备。所以,电梯是为高层建筑运输服务的设备,它具有运送速度快、安全可靠、操作简便的优点。但传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。 目前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式采用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别,但PLC可靠性高,程序设计方便灵活。 1.电梯的PLC控制方式 PLC是一种用于工业自动给控制的专用计算机。实质上属于计算机控制方式。PLC与普通微机一样,能通用或专用CPU作为字处理器,实现通道(字)的运算和数据存储,另外还有位处理器(布尔处理器),进行点(位)运算与控制。PLC控制一般具有可靠性高,易操作、维修和编程简单、灵活性强等特点。 PLC控制系统的可靠性 对可维修的产品,可靠性包括产品的有效性和可维修性。PLC不需要大量

TCM电梯诊断仪说明书V2.0.

TCM电梯诊断仪说明书 V 2.0 一、简介: Version 2.0 所做的改进: -新增F500功能; -修改了Node功能,可以查看8层以上的MS-CARD的工作状态; -改进了通信模块,程序在工作中不易发生死机现象; -新增了[Service Trip]功能,用户可以根据需要查看和修改Travels 和Hours的值; -改进了程序的使用界面,操作简单方便。 TCM电梯诊断仪是一款基于Pocket PC操作系统的应用程序,目前支持MC I、MC II和MC III 电梯控制器的诊断工作。 图1:程序主页面

TCM诊断仪主要功能部件包括,Hoistway(井道、Event Log(事件日志、Error Bank(故障库、Teach In(教入、Memory(存储器、Info(电梯系统信息、F500 和Nodes (接点信息。 在接下来的章节中,本教程将逐个叙述各功能部件的使用方法(除帮助和版本信息,及注意事项。 二、基本操作 2.1 设备 2.1.1 PDA环境 -型号:HP IPAQ HX2190 -系统语言:英语 -显示标准:320 * 240 TFT(65535色 -系统ROM: 128MB(用户最大可用80MB -系统RAM 64MB -操作系统:Microsoft Windows Mobile 5.0 -处理器:312MHz Intel PX270 -支持蓝牙无线界面 -支持SD、CF闪存卡 2.1.2 其他硬件设备 -PDA充电器:5V直流输出,100 – 240V交流输入(50Hz/500mA -PDA –串口(9针RS232连线

2.2 如何启动诊断工具 在使用TCM电梯诊断工具前,掌上电脑设备PDA必须通过PDA-串口连线和电梯控制器连接在一起(使用过程中,请勿断开连接。 连接完毕后,按PDA设备右上角的电源按钮打开PDA,并按以下步骤进入应用程序: 图2 -单击PDA屏幕左上角的Start下拉菜单(图2,然后单击Program选项; -在Programs窗口中找到TCM Diagnostic Tool图标(图3,单击图标;

相关主题
文本预览
相关文档 最新文档