当前位置:文档之家› 电子技术 集成计数器74LS160

电子技术 集成计数器74LS160

电子技术 集成计数器74LS160
电子技术 集成计数器74LS160

目录

一参考资料························( 2 )二工作原理························( 7 )三引脚图························( 8 )四电路图························( 9 )

一参考资料

(一)74LS48

74LS48的管脚排列如图(c)所示。其真值表如表3所示。该器件输入信号为BCD码,输出端为a、b、c、d、e、f、g共7线,另有3条控制线LE、RBI、BI/RBO。LE端为测试端。在BI端接高电平的条件下,当LE=0时,无论输入端A、B、C、D为何值,a~g输出全为高电平,使7段显示器件显示“8”字型,此功能用于测试器件。RBI端为灭零输入端。在LE=1,BI =1条件下,当输入A、B、C、D=0000时,输出a~g全为低电平,可使共阴LED显示器熄灭。但当输入A、B、C、D不全为零时,仍能正常译码输出,使显示器正常显示。BI端为消隐输入端。该输入端具有最高级别的控制权,当该端为低电平时,不管其他输入端为何值,输出端a~g均为低电平,这可使共阴显示器熄灭。另外,该端还有第二功能——灭零信号输出端,记为RBO。当该位输入的A、B、C、D=0000且RBI=0时,此时RBO输出低电平;若该位输入的A、B、C、D不等于零,则RBO输出高电平。若将RBO与RBI配合使用,很容易实现多位数码显示时的灭零控制。例如对整数部分,将最高位的RBI接地,这样当最高位为零时“灭零”,同时该位RBO输出低电平,使下一位的RBI为低电平,故也具有“灭零”功能;而对于小数部分,应将最低位的RBI接地,个位的RBI端悬空或接高电平,低位的RBO接至高位的RBI。

74LS48可直接驱动共阴极LED数码管而不需外接限流电阻。

表3 74LS48七段显示译码器的真值表

(二)

74LS00

四2输入与非门

(三)集成计数器74LS160

1.74LS160为异步清零计数器,即RD 端输入低电平,不受CP 控制,输出端立即全部为“0”,功能表第一行。74LS160具有同步预置功能,在RD 端无效时,LD 端输入低电平,在时钟共同作用下,CP 上跳后计数器状态等于预置输入DCBA ,即所谓“同步”预置功能(第二行)。

RD 和LD 都无效,ET 或EP 任意一个为低电平,计数器处于保持功能,即输出状态不变。只

有四个控制输入都为高电平,计数器(161)实现模10加法计数,Q 3 Q 2 Q 1 Q 0=1001时,RCO=1。 。

1 2 3 4 5 6 7 8 9 10 11 12 13 14 74LS160

RD CP D 1 GND

EP V CC D 0 15 16 D 2 D 3 LD

ET Q 3 Q 2 Q 1 Q 0 CO RD LD ET EP CP D 3

D 2 D 1 D 0 Q 3 Q 2 Q 1 Q 0

0 × × × × × × × × 0 0 0 0 1 0 × × ↑ D C B A D C B A 1 1 0 × × × × × × 保 持

1 1 × 0 × × × × × 保 持

1 1 1 1 ↑ × × × × 计 数

74LS160功能表

(四)555

555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 引脚功能:

V i1(TH ):高电平触发端,简称高触发端,又称阈值端,标志为TH 。 V i2(TR ):低电平触发端,简称低触发端,标志为TR 。 V CO :控制电压端。

V O :输出端。 Dis :放电端。 Rd :复位端。

555定时器内含一个由三个阻值相同的电阻R 组成的分压网络,产生 1/3V CC 和2/3V CC 两个基准电压;两个电压比较器C 1、C 2;一个由与非门G 1、G 2组成的基本RS 触发器(低电平触发);放电三极管T 和输出反相缓冲器G 3。

Rd 是复位端,低电平有效。复位后, 基本RS 触发器的Q 端为1(高电平),经反相缓冲器后,输出为0(低电平)。

T H

6

T R 2Di s

7V C C

8R d

4

Q

3

G N D

1

Vc o

5

555

1

2345

6

7

8GND

T R

Vo

Rd

Vc o T H

Di s VCC 555

.

.

(a) 555的逻辑符号

(b) 555的引脚排列

图2.4 555定时器逻辑符号和引

分析图2.4的电路:在555定时器的V CC端和地之间加上电压,并让V CO悬空,则比较器C1的同相输入端接参考电压2/3V CC,比较器C2反相输入端接参考电压1/3V CC,为了学习方便,我们规定:当TH端的电压>2/3V CC时,写为V TH=1,当TH端的电压<2/3V CC时,写为V TH=0。

当TR端的电压>1/3V CC时,写为V TR=1,当TR端的电压<1/3V CC时,写为V TR=0。

①低触发:当输入电压V i2<1/3V CC且V i1<2/3V CC时,V TR=0,V TH=0,比较器C2输出为低电平,C1输出为高电平,基本RS触发器的输入端S=0、

R=1,使Q=1,Q=0,经输出反相缓冲器后,V O=1,T截止。这时称555定时器“低触发”;

②保持:若V i2>1/3V CC且V i1<2/3V CC,则V TR=1,V TH=0,S=R=1,基本RS触发器保持,V O和T状态不变,这时称555定时器“保持”。

③高触发:若V i1>2/3V CC,则V TH=1,比较器C1输出为低电平,无论C2输出何种电平,基本RS触发器因R=0,使Q=1,经输出反相缓冲器后,V O=0;T导通。这时称555定时器“高触发”。

V CO为控制电压端,在V CO端加入电压,可改变两比较器C1、C2的参考电压。正常工作时,要在V CO和地之间接0.01μF(电容量标记为103)电容。放电管T l的输出端Dis为集电极开路输出。555定时器的控制功能说明见表2.6。

根据555定时器的控制功能,可以制成各种不同的脉冲信号产生与处理电路电路,例如,史密特触发器、单稳态触发器、自激多谐振荡器等。

2.6 555定时器的控制功能说明

输入输出

TH(6)TR(2)Rd(4)Q(3)T ××0 0(复位)导通

>2/3Vcc >1/3Vcc 1 0(复位)导通

<2/3Vcc <1/3Vcc 1 1(置位)截止

<2/3Vcc >1/3Vcc 1 不变不变

>2/3Vcc <1/3Vcc 1 不确定

图8.7所示为自激多谐振荡器电路和波形图。

(五)BCD七段数码管显示译码器电路

发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。分段式显示器(LED数码管)由7条线段围成8型,每一段包含一个发光二极管。外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。七段数码管是共阴极还是共阳极需要看它的端口,如果有CK则是共阴极,CK端接地;如果有CA则是共阳极,CA端接高电平..图4 - 17(a)是共阴式LED 数码管的原理图,图4-17(b)是其表示符号。使用时,公共阴极接地,7个阳极a~g 由相应的BCD七段译码器来驱动(控制),如图4 - 17(c)所示。

C、B、A表示),输出是数码管各段的驱动信号(以F a~F g表示),也称4—7译码器。若用它驱动共阴LED数码管,则输出应为高有效,即输出为高(1)时,相应显示段发光。例如,当输入8421码DCBA=0100时,应显示,即要求同时点亮b、c、f、g段,熄灭a、d、e段,故译码器的输出应为F a~F g=0110011,这也是一组代码,常称为段码。同理,根据组成0~9这10个字形的要求可列出8421BCD七段译码器的真值表。常用的显示器件有液晶显示(LCD)、发光二极管显示(LED)、等。LCD显示体积小、功耗低,但亮度不高。LED数码管是把发光二极管制成条状,再按一定方式连接,组成8,使用时让某些笔段上的发光二极管发亮,即可组成0-9的一系列数字。

二工作原理

秒表计时器工作原理:准备CC7555定时器,74LS00P与非门集成块,两片74LS160N 计数器,两片74LS48P解码器与两块7段数码管。令这些芯片的Vcc端都接高电平,GND端全接低电平,使其全部能正常工作。为了能让CC7555输出1Hz=1s 的CP,有公式f=1.43/[(R1+2R2)C] 求出R1,R2,C的值,按电路图连之。由CC7555输出端1Hz的分别与两块74LS160N的2端(CP端)接入,使74LS160N能得到1Hz=1s的CP。芯片74LS160N的2P(7端)、1P(7端)和1T(10端)共连在一开关(保持键)上,开关另一端接地。当开关断开时,T,P端输入高电平,是两块芯片处于计数状态;当开关闭合时,T、P接地,输入低电平,芯片处于保持状态。而2T(10端)接到1Qcc 上,当芯片1没有进位时,2T处于低电平,芯片2处于保持状态;当芯片1有计数满10进位时,2T处于高电平,芯片2开始计数。把芯片2的QB(13端)和QC(12端)分别与芯片74LS00P的1A和1B连之,输出1Y分别与两块芯片74LS160N的CR(1端)连之。当芯片2 74LS160N输出端QA=0,QB=1,QC=1,QD=0(计数为6)时,芯片74LS00P输出端1Y的为0。芯片74LS160的置零端(CR端)低电平有效,芯片执行清零功能。把两芯片74LS160N的CR端(1端)共与一开关(清零键)连接,开关另一边接地。当开关断开时,CR端处于高电平,芯片正常工作;当开关闭合时,CR端处于低电平,执行清零功能。把74LS160N芯片1的四个输出端QA,QB,QC,QD分别与74LS48P芯片1的四个输入端7端,1端,2端,6端连接。同理74LS160N芯片2的输出端分别与74LS48P芯片2四个输入端连接。把两个数码管的2端和6端共连并接地,1端空置。把两数码管的3,4,5,7,8,9,10端分别与两芯片74LS48P的11,12,13,15,14,9,10端连接。

三引脚图

芯片名称引脚图引脚说明

7555芯片Vcc DC TH CV

7555

GND TR Q R

1 GND

2 TR

3 Q 输出

4 R 复位端

5 CV

6 TH

7 DC

8 VCC

SN74LS160N 1 MR 清零器

2 CP 脉冲输入

3-6 预置数

8 GND

7,10 使能(高电平有效)11-14 输出(Q3为高位)

15 进位位

16 VCC

HD74LS00P 1 2输入 3 与非门输出4 5输入 6 与非门输出8 9 输入10 与非门输出11 12 输入13 与非门输出1 GND

14 VCC

HD74LS48 7,1,2,6 四位输入

4 BI消隐脚

5 RBI

3 LT测试脚

9-15 输出a,b,c,d,e,f,g 8 GND 16 VCC

共阴极7段数码管

6 5

7 4

8 3

9 2

10 1

1 悬空

2, 6连接接地

3 c段数码管

4 b 段数码管

5 a 段数码管

7 f 段数码管

8 g 段数码管

9 e 段数码管

10 d段数码管

1 2 3 4

5

7 6

8

1 2 3 4 5 6 7 8

9

10

11

12

13

14

74LS160

RD CP D1 GND

EP

V CC

D0

15

16

D2 D3

LD

ET

Q3

Q2

Q1

Q0

CO

四 电路图

R

4D C 7

Q

3

G N D

1

V C C

8

T R

2T H

6

C V

5U 1

555C 1

10u f C 2

10u f

R 1

1k

68%

R V 1

200k

D 03Q 014D 14Q 113D 25Q 212D 3

6

Q 311R C O

15

E N P 7E N T 10C L K 2L O A D 9M R

1

U 2

74L S 160

A 7

Q A 13B 1Q B 12C 2Q C 11D 6Q D 10B I /R B O 4Q E 9R B I 5Q F 15L T 3Q G 14U 4

74L S 48D 03Q 014D 14Q 113D 25Q 212D 3

6

Q 311R C O

15

E N P 7E N T 10C L K 2L O A D 9M R

1

U 5

74L S 160

A 7Q A

13B 1Q B 12C 2Q C 11D 6Q D 10B I /R B O 4Q E 9R B I 5Q F 15L T 3Q G 14U 6

74L S 48

4

5

6

U 3:B

74L S 00S W 2

S W -S P D T

定时器、计数器操作与应用实验报告

实验三 定时器、计数器操作与应用实验报告 、实验目的 1、 了解和熟悉FX 系列可编程序控制器的结构和外 部接线方法; 2、 了解 和熟 悉 GX Developer Version 7.0 软件的 使用 方法 ; 3、 掌握 可编 程序 控制器 梯形 图程 序的 编制 与调 试。 二、实验要求 仔 细阅 读实 验指 导书 中关 于编 程软 件的 说明 ,复习 教材 中有 关内 容 , 分 析程 序运 行结 果。 三、实验设备 2 、 开关 量输 入 / 输出 实验 箱 3、 计算 机 4、 编程 电缆 注 意: 1) 开关量输入/输出实验 箱内的钮子开关用来产生模拟的 开关量输入 信 号; 2) 开关量输入/输出实验箱内的LED 用来指示开关 量输出信号; 3) 编程电缆在连接PLC 与计算机时请注意方向。 四、实验内容 1 、梯形图 1 、 FX 系列可 编程 序控 制器 一只 一套 5、 GX Developer Version 7.0 软件 一套

2、梯形图程序 0LD xooo 1OUT YOOO X001 2LD 3OR¥001 4AN I X002 5OUT Y001 6OUT TO K50 9MPS 10AHI TO 11OUT Y002 12MPP 13ASD TO 14OUT¥003 15LD X003 16RST CO 18LD X004 19OUT CO K5 22LD CO 23OUT Y004 24END 3、时序图

r 时序10 □ ?Si 正在进荷囲1SL 金冃勖厂手祜r XI广X3厂X5厂K1Q拧应C 40 J2fl MIB -380 .360 '340 -33 MW 脚 M 创Q,220,200,13Q -1?-14D ,1如■!? 如也 40 如厂「 五、实验步骤 1、程序的编辑、检查和修改; 2、程序的变换; 3、程序的离线虚拟设备仿真测试; 4、程序写入PLC; 5、用PLC运行程序; 6、比较程序的分析结果与实际运行结果。 六、实验报告 1、实验梯形图程序的编写; 2、梯形图程序的理论分析与结果; 3、梯形图程序的实际运行结果; 4、结论。 七、实验心得 通过这样一次实验,我对GX Developer Version 7.0 软件的使用方 法更加的熟悉了,也了解到在实验中需要我们集中精力,仔细认真地完成■XDU "Tlr-.Ll-t-1!- D LJ D-IT--1 z?E I4J 一 — Ti ll IL — 」 ill-t-ll-r — 1

集成计数器及寄存器的运用 实验报告

电子通信与软件工程 系2013-2014学年第2学期 《数字电路与逻辑设计实验》实验报告 --------------------------------------------------------------------------------------------------------------------- 班级: 姓名: 学号: 成绩: 同组成员: 姓名: 学号: --------------------------------------------------------------------------------------------------------------------- 一、 实验名称:集成计数器及寄存器的运用 二、实验目的: 1、熟悉集成计数器逻辑功能与各控制端作用。 2、掌握计数器使用方法。 三、 实验内容及步骤: 1、集成计数器74LS90功能测试。74LS90就是二一五一十进制异步计数器。逻辑简图为图8、1所示。 四、 五、 图8、1 六、 74LS90具有下述功能: ·直接置0(1)0(2)0(.1)R R ,直接置9(S9(1,·S,.:,=1) ·二进制计数(CP 、输入QA 输出) ·五进制计数(CP 2输入Q D Q C Q B 箱出) ·十进制计数(两种接法如图8.2A 、B 所示) ·按芯片引脚图分别测试上述功能,并填入表 8、1、表8、2、表8、3中。

图8、2 十进制计数器 2、计数器级连 分别用2片74LS90计数器级连成二一五混合进制、十进制计数器。 3、任意进制计数器设计方法 采用脉冲反馈法(称复位法或置位法)。可用74LS90组成任意模(M)计数器。图8、3就是用74LS90实现模7计数器的两种方案,图(A)采用复位法。即计数计到M异步清0。图(B)采用置位法,即计数计到M一1异步置0。 图8、3 74LS90 实现七进进制计数方法 (1)按图8、3接线,进行验证。 (2)设计一个九进制计数器并接线验证。 (3)记录上述实验的同步波形图。 四、实验结果:

项目二十一集成同步计数器常用芯片及其应用(精)

项目二十一:集成同步计数器常用芯片及其应用 内容简介 在本次课中,我们将介绍集成同步计数器等常用芯片及其应用。 本次授课内容为课本P134-139 教学组织 1.常用中规模集成计数器 (1)常用异步集成计数器74LS290 74LS290芯片的符号图和管脚排列如下图所示。其中,S9(1)、S9(2)称为置“9”端,R0(1)、R0(2)称为置“0”端;CP0、CP1端为计数时钟输入端,Q3Q2Q1Q0为输出端,NC表示空脚。 74LS290具有以下功能: 置“9”功能:当S9(1)=S9(2)=1时,不论其他输入端状态如何,计数器输出Q3 Q2 Q1 Q0=1001,而(1001)2=(9)10,故又称为异步置数功能。 置“0”功能:当S9(1)和S9(2)不全为1,并且R0(1)=R0(2)=1时,不论其他输入端状态如何,计数器输出Q3 Q2 Q1 Q0=0000,故又称为异步清零功能或复位功能。 计数功能:当S9(1)和S9(2)不全为1,并且R0(1)和R0(2)不全为1时,输入计数脉冲CP,计数器开始计数。计数脉冲由CP0输入,从Q0输出时,则构成二进制计数器;计数脉冲由CP1输入,输出为Q2Q1Q0时,则构成五进制计数器;若将Q0和CP1相连,计数脉冲由CP0输入,输出为Q3Q2Q1Q0时,则构成十进制(8421码)计数器;若将Q3和CP0相连,计数脉冲由CP1输入,输出为Q3Q2Q1Q0时,则构成十进制(5421码)计数器。因此,74LS290又称为“二—五—十进制型集成计数器”。 (2)常用同步集成计数器74LS161 74LS161是一种同步4位二进制加法集成计数器。其符号图和管脚的排列如下图(a)、(b)所示,逻辑功能如下表所示。 74LS290的符号图和管脚图 74LS161的符号图和管脚图

实验六计数器及其应用

实验六计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数计构成1/N分频器 二、实验原理 1、用D触发器构成异步二进制加/减计数器 图1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T'触发器,再由低位触发器的Q端和高一位的CP端相连接。 图1 四位二进制异步加法计数器 2、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图2所示。 图2 CC40192引脚排列及逻辑符号 图中LD—置数端 CP U —加计数端 CP D —减计数端

CO—非同步进位输出端BO—非同步借位输出端 D 0、D 1 、D 2 、D 3 —计数器输入端 Q 0、Q 1 、Q 2 、Q 3 —数据输出端 CR—清除端 CC40192(同74LS192,二者可互换使用)的功能如表9-1,说明如下: 表9-1 3、计数器的级联使用 图3是由CC40192利用进位输出CO控制高一位的CP U 端构成的加数级联图。 图3 CC40192级联电路 4、实现任意进制计数 (1) 用复位法获得任意进制计数器 假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计数到M时置“0”,即获得M进制计数器。如图4所示为一个由CC40192 十进制计数器接成的6进制计数器。 (2) 利用预置功能获M进制计数器 图4 六进制计数器

三、实验设备与器件 1、+5V直流电源 2、双踪示波器 3、连续脉冲源 4、单次脉冲源 5、逻辑电平开关 6、逻辑电平显示器 7、译码显示器 8、 CC4013×2(74LS74)、CC40192×3(74LS192)、CC4011(74LS00) CC4012(74LS20) 四、实验内容 1、用CC4013或74LS74 D触发器构成4位二进制异步加法计数器。 (1) 按图9-1接线,R D 接至逻辑开关输出插口,将低位CP 端接单次脉冲源, 输出端Q 3、Q 2 、Q 3 、Q 接逻辑电平显示输入插口,各S D接高电平“1”。 (2) 清零后,逐个送入单次脉冲,观察并列表记录 Q 3~Q 状态。 (3) 将单次脉冲改为1HZ的连续脉冲,观察Q 3~Q 的状态。 (4) 将1Hz的连续脉冲改为1KHz,用双踪示波器观察CP、Q 3、Q 2 、Q 1 、Q 端波 形,描绘之。 5) 将图9-1电路中的低位触发器的Q端与高一位的CP端相连接,构成减法计 数器,按实验内容2),3),4)进行实验,观察并列表记录Q 3~Q 的状态。 2、测试CC40192或74LS192同步十进制可逆计数器的逻辑功能 (1) 清除:CR=1 (2) 置数:CR=0,数据输入端输入任意一组二进制数,令LD= 0,观察计数译码显示输出。 (3) 加计数:CR=0,LD=CP D =1,CP U 接单次脉冲源。 (4) 减计数:CR=0,LD=CP U =1,CP D 接单次脉冲源。 3、图9-3所示,用两片CC40192组成两位十进制加法计数器,输入1Hz连续计数脉冲,进行由00—99累加计数,记录之。 4、按图4电路进行实验,记录之。

单片机实验之定时器计数器应用实验二

一、实验目的 1、掌握定时器/计数器计数功能的使用方法。 2、掌握定时器/计数器的中断、查询使用方法。 3、掌握Proteus软件与Keil软件的使用方法。 4、掌握单片机系统的硬件和软件设计方法。 二、设计要求 1、用Proteus软件画出电路原理图,单片机的定时器/计数器以查询方式工作,设定计数功能,对外部连续周期性脉冲信号进行计数,每计满100个脉冲,则取反P1.0口线状态,在P 1.0口线上接示波器观察波形。 2、用Proteus软件画出电路原理图,单片机的定时器/计数器以中断方式工作,设定计数功能,对外部连续周期性脉冲信号进行计数,每计满200个脉冲,则取反P1.0口线状态,在P 1.0口线上接示波器观察波形。 三、电路原理图 六、实验总结 通过本实验弄清楚了定时/计数器计数功能的初始化设定(TMOD,初值的计算,被计数信号的输入点等等),掌握了查询和中断工作方式的应用。 七、思考题 1、利用定时器0,在P1.0口线上产生周期为200微秒的连续方波,利用定时器1,对 P1.0口线上波形进行计数,满50个,则取反P1.1口线状态,在P 1.1口线上接示波器观察波形。 答:程序见程序清单。

四、实验程序流程框图和程序清单。 1、定时器/计数器以查询方式工作,对外部连续周期性脉冲信号进行计数, 每计满100个脉冲,则取反P1.0口线状态。 汇编程序: START: LJMP MAIN ORG 0100H MAIN: MOV IE, #00H MOV TMOD, #60H MOV TH1, #9CH MOV TL1, #9CH SETB TR1 LOOP: JNB TF1, LOOP CLR TF1 CPL P1.0 AJMP LOOP END C语言程序: #include sbit Y=P1^0; void main() { EA=0; ET1=0; TMOD=0x60; TH1=0x9C; TL1=0x9C; while(1) { TR1=1; while(!TF1); TF1=0; Y=!Y; } }

电子设计-两位自动计数器

课程作业 题目:《电子设计》 ——两位自动计数器 姓名:李XX 学院:物理机电与工程学院 系:电子科学系 专业:信电 年级: 学号: 指导教师: 年月日

两位自动计数器 一、两位自动计数器的功能工作原理 两位自动计数器两位数码管自动显示0-99,数字可清零。电路主要由NE555,4518,4511实现。上电后,电路自动计数.由0增至99,不断循环计数.数字上升速度快慢由NE555振荡频率决定.S1为计数清零按键.NE555构成时钟信号发生器,CD4518为二/十进制加法计数器,CD4511为译码驱动器,调节R17可调节NE555的振荡频率.C1为充放电电容,电容容量愈大,充电时间愈长,,则振荡频率愈低。 原理图: 二、元器件的选择 编号名称型号数量 R1、R2 电阻10KΩ 2 R3—R16 电阻1KΩ14 R17 可变电阻0—100KΩ 1 C1 极性电容10UF 1 C2 电容10^3 1 C3 电容10^4 1 U1 芯片NE555 1 U2A、U2B 芯片4518 2 U3、U4 芯片4511 2 ——八段数码管—— 2 S1 计数清零按键—— 1 三、芯片的功能 (1)NE555构成的是时钟信号发生器

(2)CD4518为二/十进制加数器

CD4518/CC4518是二、十进制(8421编码)同步加计数器,内含两个单元的加计数器,其功能表如真值表所示。每单个单元有两个时钟输入端CLK和EN,可用时钟脉冲的上升沿或下降沿触发。由表可知,若用ENABLE信号下降沿触发,触发信号由EN端输入,CLK 端置“0”;若用CL℃K信号上升沿触发,触发信号由CL℃K端输入,ENABLE端置“1”。RESET端是清零端,RESET端置“1”时,计数器各端输出端Q1~Q4均为“0”,只有RESET 端置“0”时,CD4518才开始计数。 CD4518采用并行进位方式,只要输入一个时钟脉冲,计数单元Q1翻转一次;当Q1为1,Q4为0时,每输入一个时钟脉冲,计数单元Q2翻转一次;当Q1=Q2=1时,每输入一个时钟脉冲Q3翻转一次;当Q1=Q2=Q3=1或Q1=Q4=1时,每输入一个时钟脉冲Q4翻转一次。这样从初始状态(“0”态)开始计数,每输入10个时钟脉冲,计数单元便自动恢复到“0”态。若将第一个加计数器的输出端Q4A作为第二个加计数器的输入端ENB的时钟脉冲信号,便可组成两位8421编码计数器,依次下去可以进行多位串行计数。 CD4518功能: CD4518是一个双BCD同步加计数器,由两个相同的同步4级计数器组成。 CD4518引脚功能(管脚功能)如下: 1CP、2CP:时钟输入端。1CR、2CR:清除端。 1EN、2EN:计数允许控制端。1Q0~1Q3:计数器输出端。 2Q0~2Q3:计数器输出端。Vdd:正电源。Vss:地。 CD4518是一个同步加计数器,在一个封装中含有两个可互换二/十进制计数器,其功能引脚分别为1~7和9~{15}.该CD4518计数器是单路系列脉冲输入(1脚或2脚;9脚或10脚),4路BCD码信号输出(3脚~6脚;{11}脚~{14}脚)。 CD4518控制功能:CD4518有两个时钟输入端CP和EN,若用时钟上升沿触发,信号由CP输入,此时EN端为高电平(1),若用时钟下降沿触发,信号由EN输入,此时CP端为低电平(0),同时复位端Cr也保持低电平(0),只有满足了这些条件时,电路才会处于计数状态.否则没办法工作。 CD4518采用并行进位方式,只要输入一个时钟脉冲,计数单元Q1翻转一次;当Q1为1,Q4为0时,每输入一个时钟脉冲,计数单元Q2翻转一次;当Q1=Q2=1时,每输入一个时钟脉冲Q3翻转一次;当Q1=Q2=Q3=1或Q1=Q4=1时,每输入一个时钟脉冲Q4翻转一次。这样从初始状态(“0”态)开始计数,每输入10个时钟脉冲,计数单元便自动恢复到“0”态。若将第一个加计数器的输出端Q4A作为第二个加计数器的输入端ENB的时钟脉冲信号,便可组成两位8421编码计数器,依次下去可以进行多位串行计数。 清零原理:就是将CD4518的清零端连在一起,接一个开关,按下开关就会清零,但是如果直接连在一起前级的低电平会对后级的清零有影响,因此需要接一个二极管,因为二极管具有单向导电性,因此不会对后级有影响。 (3)CD4511为译码驱动器

实验三定时器计数器应用实验一

定时器/计数器应用实验一 设计性试验 2012年11月14日星期三第三四节课 一、实验目的 1、掌握定时器/计数器定时功能的使用方法。 2、掌握定时器/计数器的中断、查询使用方法。 3、掌握Proteus软件与Keil软件的使用方法。 4、掌握单片机系统的硬件和软件设计方法。 二、设计要求 1、用Proteus软件画出电路原理图,单片机的定时器/计数器以查询方式工作,在P1.0口线上产生周期为200μS的连续方波,在P 1.0口线上接示波器观察波形。 2、用Proteus软件画出电路原理图,单片机的定时器/计数器以中断方式工作,在P1.1口线上产生周期为240μS的连续方波,在P 1.1口线上接示波器观察波形。 三、电路原理图

四、实验程序流程框图和程序清单及实验结果 /********* 设计要求:(a)单片机的定时器/计数器以查询方式工作, 在P1.0口线上产生周期为200us的连续方波 编写:吕小洋 说明:用定时器1的方式1以查询方式工作 时间:2012年11月10日 ***************/ ORG 0000H 开始 系统初始化

START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH CLR EA ;关总中断 CLR ET1 ;禁止定时器1中断 MOV TMOD, #00010000B ;设置定时器1为工作方式1 MOV TH1, #0FFH ;设置计数初值 MOV TL1, #9CH SETB TR1 ;启动定时器 LOOP: JNB TF1, LOOP ;查询计数是否溢出 MOV TH1, #0FFH ;重置计数初值 MOV TL1, #9CH CLR TF1 ;清除计数溢出标志 CPL P1.0 ;输出取反 LJMP LOOP ;重复取反 END

集成二-五-十计数器的应用课案

一、实验目的 1.掌握集成二~五~十进制计数器的逻辑功能; 2.学会集成二~五~十进制计数器的应用。 二、实验原理 1.集成二~五~十进制计数器7490简介 集成二~五~十进制计数器内部电路如图 在Cp0作用下FF0完成一位二进制计数; 在Cp1作用下FF1、FF2、FF3按421码完成五进制计数;S91S92=1时,计数器Q3Q2Q1Q0完成置9功能; S91S92=0、R01R02=1时,计数器Q3Q2Q1Q0完成置0功能。 2.集成二~五~十进制计数器7490功能表 3.集成二~五~十进制计数器7490的应用

(1)构成8421BCD十进制加法异步计数器 由于该芯片内二~五进制计数器均为下降沿触发,所以只需将421码五进制加法计数器的时钟Cp1接二进制计数器的输出Q0即可。 如图: (2)构成5421BCD十进制加法异步计数器 由于该芯片内二~五进制计数器均为下降沿触发,所以只需将421码五进制加法计数器的Q3输出端接二进制计数器的时钟Cp0即可。 如图: (3)构成模10以内任意进制计数器 ①反馈置0法:通过设计外部门电路使S91S92=0、R01R02=1。 ②反馈置9法:通过设计外部门电路使S91S92=1。 三、实验仪器 1.直流稳压电源1台 2.任意波信号发生器1台 3.数字万用表1台 4.电子技术综合实验箱1台 5.数字示波器1台

四、实验内容 1.二~五~十进制计数器功能验证 7490管脚图如图,根据功能表,画出验证集成二~五~十进制计数器的测试图,自拟实验步骤进行验证。 2.构成8421BCD十进制加法异步计数器 按图搭接电路,用单脉冲作Cp0时钟,用数码管显示8421BCD十进制加法异步计数器,验证其计数功能,写出计数时序表。 (1)仿真电路图:

实验九-可逆计数器的功能测试及应用电路

实验九可逆计数器的功能测试及应用电路 实验目的: (1)掌握可逆计数器74LS191、74LS191、74LS192、74LS193的逻辑功能及使用方法。 (2)熟悉可逆计数器实现任意进制的数码倒计时电路的工作原理。 实验仪器与器件: 实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。 74LS191、74LS191、74LS191或74HC48、74LS00和74LS04。 实验内容: 1测试74LS190和74LS191的逻辑功能,并用数码管显示,验证是否与表2-9-4一致,分别画出各单元的电路图,写出各自的状态 实验原理:单时钟74LS191二进制同步加/减计数器的功能表如下: 表2-9-4 单时钟74LS191二进制同步加/减计数器的功能表 单时钟74LS191二进制同步加/减计数器是十进制的,其他功能与74LS191一样。它的有效状态为0000~1001. 实验电路: 如图所示是减计数时当计数器的状态变为0时的电路状态:RCO=0,MAX/=1; MIN

实验现象与结果: 该结果是当CTEN =0,D L =1,D U /=1时,A B C D Q Q Q Q 的 波形图; 该结果是当CTEN =0,D L =1,D U /=1时, RCO 与MIN MAX /的波形图

需要说明的是:当CTEN= D L=1时,电路保持原来的状态。 2测试74LS192和74LS193的逻辑功能,并用数码管显示,验证是否与表2-9-3及2-9-5一致。画出测试电路图。 实验原理: 双时钟74LS192同步十进制可逆计数器的功能表如下表所示,74LS192是十进制计数器。 表2-9-3双时钟74LS192同步十进制可逆计数器的功能表 输入输出工作 状态 U CP UP D CP DOW N CLR D L DCBA A B C D Q Q Q Q U TC D TC **H H ****0000 H H 异步 清零**L L 1001 1001 H H 异步 置数 H ↑L H ****1001→ 0001→ 0000H H H L 减法 计数 ↑H L H ****0000→ 1000→ 1001H L H H 加法 计数 双时钟74LS193二进制同步加/减法计数器的功能表如下表所示,74LS193是一个十六进制的计数器。

电子计算器课程设计

目录 1 设计任务和性能指标 (1) 1.1 设计任务 (1) 1.2 性能指标 (1) 2 设计方案 (1) 2.1 需求分析 (1) 2.2 方案论证 (1) 3 系统硬件设计 (2) 3.1 总体框图设计 (2) 3.2 单片机选型 (2) 3.3 单片机附属电路设计 (3) 3.4 LCD液晶显示 (4) 4 系统软件设计 (5) 4.1 设计思路 (5) 4.2 总体流程图 (5) 4.3 子程序设计 (5) 4.4 总程序清单 (6) 5 仿真与调试 (6) 5.1 调试步骤 (6) 5.2 仿真结果及性能分析 (8) 6 总结 (8) 参考文献 (8) 附录1 系统硬件电路图 (10) 附录2 程序清单 (11)

1 设计任务和性能指标 1.1 设计任务 电子计算器设计 1、能实现4位整数的加减法和2位整数的乘法; 2、结果通过5个LED数码管显示(4位整数加法会有进位)或通过液晶显示屏显示。 1.2 性能指标 1.用数字键盘输入4位整数,通过LED数码显示管或液晶显示屏显示。 2.完成四位数的加减法应算。当四位数想加时产生的进位时,显示进位。 3.显示2位,并进行2位整数的乘法。 4.设计4*4矩阵键盘输入线的连接。 2 设计方案 2.1 需求分析 我们日常生活的开支,大额数字或是多倍小数的计算都需要计算器的帮助,处理数字的开方、正余弦都离不开计算器。虽然现在的计算器价格比较低廉,但是功能过于简单的不能满足个人需求,功能多的价格较贵,操作不便不说,很多功能根本用不到。所以,我们想到可不可以用自己所学为自己设计开发一个属于自己的简单计算器来完成日常生活的需求。 2.2 方案论证 使用单片机为ATMEL公司生产AT89C51,AT89C51提供以下标准功能:4K字节FLASH 闪速存储器,128字节内部RAM,32个I/O口线,两个16位定时/计数器,一个向量两级中断结构,一个全双工串行通讯口,内置一个精密比较器,片内振荡器及时钟电路,同时AT89C51可降至0Hz的静态逻辑操作,并支持两种软件可选的工作模式,空闲方式停止CPU 的工作,但允许RAM,定时计数器,串行通信及中断系统继续工作。 显示用LCD液晶显示屏,减少线路连接。 用C言编写程序,易进行调试修改。 采用4*4矩阵键盘作为输入。

实验五 74LS90计数器及其应用

实验五 74LS90计数器及其应用 吴宇 2009302301 9294 一、 实验目的 (1) 熟悉常用中规模计数器的逻辑功能。 (2) 掌握二进制计数器和十进制计数器的工作原理和使用方法 (3) 熟练掌握利用74LS90计数器设计其他进制计数器的方法 二、 实验设备 数字电路实验箱,数字万用表,74LS90,函数信号发生器,74LS47及数码管 三、 实验原理 计数是一种最简单的基本运算,计数器在数字系统中主要是对脉冲信号个数进行计数,以实现测量、计数和控制功能,同时兼有分频的功能。计数器按计数进制分有二进制计数器,十进制计数器和任意进制计数器;按技术单元中触发器所接受计数脉冲和翻转顺序分有异步计数器、同步计数器;按计数供能分忧加法计数器,减法计数器,可逆计数器等。 1. 异步清零二——五——十进制异步计数器 74LS90 74LS90是一块二五十进制异步计数器,外形为双列直插。计数脉冲由单次脉冲源提供, 如果从1CP 端输入,从0Q 端输出,则是二进制计数器;如果从2CP 端输入,从321Q Q Q 输出,则是异步五进制加法计数器。 四、 实验内容 (1).用74LS90实现十进制,并用数码管显示 用BCD8421码实现十进制,时钟信号从1CP 端输入,0Q 端为最低位输出信号 ,并作为进位信号输入2CP 端,321Q Q Q 输出,由高到低排列。

十进制仿真实现图: (2).用74LS90实现六进制,并用数码管显示 复位法: 原理:先将74LS90连成十进制,然后连出进位信号至复位端进位。即当输出为0110时,输出复位信号。可以把21Q Q 练到0102R R 得到复位信号,仿真如图: 六进制仿真实现图:

单片机实验-定时器计数器应用实验二

定时器/计数器应用实验二 一、实验目的和要求 1、掌握定时器/计数器计数功能的使用方法。 2、掌握定时器/计数器的中断、查询使用方法。 3、掌握Proteus软件与Keil软件的使用方法。 4、掌握单片机系统的硬件和软件设计方法。 二、实验内容或原理 1、利用单片机的定时器/计数器以查询方式计数外 部连续周期性矩形波并在单片机口线上产生某一 频率的连续周期性矩形波。 2、利用单片机的定时器/计数器以中断方式计数外 部连续周期性矩形波并在单片机口线上产生某一 频率的连续周期性矩形波。 三、设计要求 1、用Proteus软件画出电路原理图,单片机的定时 器/计数器以查询方式工作,设定计数功能,对 外部连续周期性脉冲信号进行计数,每计满100 个脉冲,则取反P1.0口线状态,在P 1.0口线上 接示波器观察波形。 2、用Proteus软件画出电路原理图,单片机的定时 器/计数器以中断方式工作,设定计数功能,对 外部连续周期性脉冲信号进行计数,每计满200 个脉冲,则取反P1.0口线状态,在P 1.0口线上 接示波器观察波形。 四、实验报告要求 1、实验目的和要求。 2、设计要求。 3、电路原理图。 4、实验程序流程框图和程序清单。 5、实验结果(波形图)。 6、实验总结。 7、思考题。 五、思考题 1、利用定时器0,在P1.0口线上产生周期为200微秒的连续 方波,利用定时器1,对P1.0口线上波形进行计数,满 50个,则取反P1.1口线状态,在P 1.1口线上接示波器 观察波形。 原理图:

程序清单: /*功能:用计数器1以工作方式2实现计数(查询方式)每计满100个脉冲,则取反P1.0口线状态*/ ORG 0000H START:MOV TMOD,#60H MOV TH1,#9CH MOV TL1,#9CH MOV IE,#00H SETB TR1 LOOP:JBC TF1,LOOP1 AJMP LOOP LOOP1:CPL P1.0

实验四 计数器及其应用

实验四计数器及其应用 一、实验目的 l、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数计构成l位分频器 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 l、用D触发器构成异步二进制加/减计数器 图4-1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D 触发器接成T’触发器,再由低位触发器的Q端和高—位的CP端相连接。 图4-1 四位二进制异步加法计数器 若将图4-l稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器。 2、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,具引脚排列及逻辑符号如图4-2所示。

图4-2 CC40192引脚排列及逻辑符号 图中LD一置数端CP L一加计数端CP D一减计数端 CO一非同步进位输出端BO一非同步借位输出端 D0、D1、D2、D3一计数器输入端 Q0、Q1、Q2、Q3一数据输出端CR一清除端 CC40192(同74LS192,二者可互换使用)的功能如表4-1,说明如下:表4-1 当清除端CR为高电平“1”时,计数器直接清零;CR置低电平则执行其它功能。 当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3置入计数器。 当CR为低电平,LD为高电平时,执行计数功能。执行加计数时,减计数端CP D接高 电平,计数脉冲由CP U输入;在计数脉冲上升沿进行842l码十进制加法计数。执行减计数时,加计数端CPu接高电平,计数脉冲由减计数端CP D输入,表4-2为8421码十进制加、减计数器的状态转换表。 表4-2 3、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图4-3是由CC40192利用进位输出CO控制高一位的CP U端构成的加数级联图。

计数器设计和原理

二.计数器设计 1.实验目的 计数器在数字逻辑设计中的应用十分广泛,可以对时钟信号进行计数,分频和产生序列信号,也可以用在计时器和串并转换等电路。这次实验我们就来学习一下如何用Robei和Verilog语言来设计一个4比特计数器。 2.实验要求 计数器对每个时钟脉冲进行技术,并将计数值输出出来。这个实验我们来设计一个4比特的计数器,其技术范围在0~F之间,也就是计数到最大值16. 设计波形要求如图1所示。 图1. 计数器输出波形要求 3.实验内容 3.1 模型设计 1)新建一个模型。点击工具栏上的图标,或者点击菜单“File”然后在下 拉菜单中选择“New”,会有一个对话框弹出来(如图2所示)。在弹出的对话框中设置你所设计的模型。

图2. 新建一个项目 参数填写完成后点击“OK”按钮,Robei就会生成一个新的模块,名字就是counter,如图3所示: 图3. 计数器界面图 2)修改模型。在自动生成的界面图上进行名称的修改,输入引脚为clock, enable 和reset,输出引脚修改成count。其中count引脚的“Datasize”为4比特,用户可以输入4,也可以输入3:0。为了区分每个引脚,我们可以修改每个引脚的Color值,并点回车保存。修改完成后如图4所示。如果选中模块,按“F1”键,就会自动生成一个Datasheet,如图5所示。

图4. 修改引脚属性 图5. “Datasheet”截图 3)输入算法。点击模型下方的Code(如图6所示)进入代码设计区。

图6. 点击Code输入算法 在代码设计区内输入以下Verilog代码: always @ (posedge clock) //学习always语句的写法,并设置敏感信号。时钟上升沿触发begin //学习Verilog if else语句的写法 if (reset == 1) begin count<= 0; end //if enable is 1, counter starts to count else if (enable == 1) begin count <= count + 1; end end 4)保存。点击工具栏图标,或者点击菜单“File”中的下拉菜单“Saveas”, 将模型另存到一个文件夹中。 5)运行。在工具栏点击或者点击菜单“Build”的下来菜单“Run”,执 行代码检查。如果有错误,会在输出窗口中显示。如果没有错误提示,恭喜,模型counter设计完成。 3.2测试文件设计

计数器及其应用

实验八 计数器及其应用 一、实验目的 1、熟悉中规模集成计数器的逻辑功能及使用方法 2、掌握用74LS160/74LS161构成任意进制计数器的方法 3、熟悉中规模集成计数器各输出波形及应用 4、学习用集成触发器构成计数器的方法 二、实验任务 1、利用D 触发器设计四位二进制加法/减法计数器。 2、利用74LS161设计十二进制计数器,要求用置零法和置数法二种方法实现。 3、利用多片74LS161设计七十二进制计数器。 三、实验原理 计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS 触发器、T 触发器、D 触发器及JK 触发器等。计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等 计数器按计数进制不同,可分为二进制计数器、十进制计数器、其他进制计数器和可变进制计数器,若按计数单元中各触发器所接收计数脉冲和翻转顺序或计数功能来划分,则有异步计数器和同步计数器两大类,以及加法计数器、减法计数器、加/减计数器等,如按预置和清除方式来分,则有并行预置、直接预置、异步清除和同步清除等差别,按权码来分,则有“8421”码,“5421”码、余“3”码等计数器,按集成度来分,有单、双位计数器等等,其最基本的分类如下: 计数器的种类?? ? ? ? ?? ???? ? ? ?????????? ?????进制计数器十进制计数器二进制计数器进制可逆计数器 减法计数器加法计数器功能异步计数器 同步计数器结构N 、、、321 1、 用D 触发器构成异步二进制加/减计数器 图3.8.1是用四只D 触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D 触发器接成T'触发器,再由低位触发器的Q 端和高一位的CP 端相连接。

实验十一 同步计数器的逻辑功能测试及应用

实验十一计数器74LS161的逻辑功能测试及应用 一、实验目的 1、熟悉集成计数器触的逻辑功能和各控制端作用。 2、掌握集成计数器逻辑功能测试方法。 3、掌握计数器使用方法。 二、实验设备与器件 1、实验设备:DLBS系列数字逻辑实验箱1个,MF47型万用表1台。 2、实验器件:74LS161集成同步计数器×2片,四二输入与非门74LS00×1块。 三、实训器件说明 1、 74LS161集成同步计数器 74LS161是一种同步四位二进制同步加法计数器,计数范围是0~15,具有异步清零、同步置数、保持和二进制加法计数等逻辑功能。图11.1所示为74LS161的管脚图和逻 辑功能示意图。图中CR端是异步清零控制端,当CR=0时,输出Q3Q2Q1Qo全为零,实现异步清除功能。LD是同步置数控制端,当CR=1,LD=0,且CP=CP↑时,输出 Q3Q2Q1Qo=D3D2D1Do,实现同步预置数功能。CTP和CTT是计数控制端,CP是上升沿有效的时钟脉冲输入端,D0~D3是并行数据输入端,Q0~Q3是计数输出端,CO是进位输出端,且进位输出信号CO=CTt=Q3Q2Q1Qo ,它可以用来实现电路的级联扩展。 74LS161的逻辑功能如表6.9所示。表中各控制输入端按优先级从高到低的次序排列, 依次为CR、LD、CTp和CTt,其中CR优先级最高。计数输出Q3为最高位,Qo为最低 位。 输入输出 CR LD CTp CTt CP D3 D2 D1 Do Q3 Q2 Q1 Qo 0 ××××××××0 0 0 0 1 0 ××↑D3 D 2 D1 D0 D 3 D2 D1 D0 1 1 0 ××××××保持 1 1 ×0 ×××××保持 1 1 1 1 ↑××××二进制加法计数

数字电路实验报告——24进制计数器逻辑功能及其应用

24进制计数器逻辑功能及其应用 一、实验目的: 1. 熟悉中等规模集成电路计数器74LS160的逻辑功能,使用方法及应用。 2. 掌握构成计数器的方法。 二、实验设备及器件: 1. 数字逻辑电路实验板1片 2. 74HC90同步加法二进制计数器2片 3. 74HC00二输入四与非门1片 4. 74HC04 非门1片 三、实验原理: 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 集成计数器74HC90是二-五-十进制计数器,其管脚排列如图。

四、实验内容

实验电路图: 用74HC00与非门和74HC04的非门串联,构成与门。74HC00的引脚图和真值表如图:

74HC04的引脚图与真值表如图: 按实验电路图,参照各个芯片的引脚图和真值表,连接电路。其中Q0到Q3分别连到数码管的对应的D0到D3,CP0端接到时钟脉冲,然后检查电路无误后,加电源,观察现象。实验结果:个位数码管随时间显示0、1、2、3、4、5、6、7、8、9,十位数码管显示个位进位计数结果,按0、1、2变化,当数字增加到23后,数码管自动清零,又从零开始变化。 五、实验心得: 本次实验,通过对计数器工作过程的探索,基本上了解了数码计数器的工作原理,以及74HC160的数字特点,让我更进一步掌握了如何做好数字电子数字实验,也让我认识到自身理论知识的不足和实践能力的差距,以及对理论结合实践的科学方法有了更深刻理解。

计数器及其应用

实验十计数器及其应用 一、实验目的: 1、学习用集成触发器构成计数器的方法; 2、掌握中规模集成计数器的使用方法及功能测试方法; 3、运用集成计数器构成1/N分频器。 二、实验原理: 计数器是一种用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器的种类很多。按构成计数器中的各触发器是否使用于一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数体制的不同,分为二进制计数器、十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数电路。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就

图中LD------置数端;CP U-----加计数端;CP D-----减计数端; 非同步进位输出端;BO------非同步借位输出端; D0、D1、D2、D3-----计数器输入端; Q0、Q1、Q2、Q3-----数据输出端;CR-----清零端。 表10-1 74LS192(同CC40192,二者可互换使用)的功能如表10-1所示,说明如下: 当清零端CR为高电平“1”时,计数器直接清零;CR置低电平则执行其它功能。 当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3置入计数器。 当CR为低电平,LD为高电平时,执行计数功能。执行加计数时,减计数端CP D接高电平,计数脉冲由CP U输入;在计数脉冲上升沿进行8421码的十进制加法计数。执行减计数时,加计数端CP U接高电平,计数脉冲由减计数端CP D输入,表10-2为8421码十进制加、减计数器的状态转换表。 表10-2 加计数 减计数 3、计数器的级联使用 一个十进制计数器只能表示0-9十个数,为了扩大计数器计数范围,常将多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图10-3 (a)是由74LS192利用进位输出CO控制高一位的CP U端构成的加计数级联图。图(b)是由CC40160利用进位输出Q CC控制高一位的状态控制端S1、S2的级联图。

实验8 集成计数器及寄存器的应用

实验8、 集成计数器及寄存器的应用 一、实验目的 1.熟悉集成计数器逻辑功能和各控制端作用。 2.掌握计数器使用方法。 二、实验仪器及材料 1.双踪示波器 2.器件 74LS90 十进制计数器 2片 74LS00 二输入端四与非门 1片 三、实验内容及步骤 1.集成计数器74LS90功能测试。74LS90是二一五一十进制异步计数器。逻辑简图为图 8.1所示。 图8.1 74LS90具有下述功能: ·直接置0(1)0(2)0(.1)R R ,直接置9(S9(1,·S ,.:,=1) ·二进制计数(CP 、输入QA 输出) ·五进制计数(CP 2输入Q D Q C Q B 箱出) ·十进制计数(两种接法如图8.2A 、B 所示) 按芯片引脚图分别测试上述功能,并填入表 8.1、表8.2、表8.3中。 图8.2 十进制计数器

2. 计数器级连 分别用2片74LS90计数器级连成二一五混合进制、十进制计数器。 (1)画出连线电路图。 (2)按图接线,并将输出端接到数码显示器的相应输入端,用单脉冲作为输入脉冲验证设计是否正确。 (3)画出四位十进制计数器连接图并总结多级计数级连规律。 表8.1 功能表 表8.2 二-五混合进制表8.3 十进制 3. 任意进制计数器设计方法 采用脉冲反馈法(称复位法或置位法)。可用74LS90组成任意模(M)计数器。图8.3是用74LS90实现模7计数器的两种方案,图(A)采用复位法。即计数计到M异步清0。图(B)采用置位法,即计数计到M一1异步置0。

图8.3 74LS90 实现七进进制计数方法 (1)按图8.3接线,进行验证。 (2)设计一个九进制计数器并接线验证。 (3)记录上述实验的同步波形图。 四、实验报告 1.整理实验内容和各实验数据。 2.画出实验内容所要求的电路图及波形图。 3. 总结计数器使用特点

相关主题
文本预览
相关文档 最新文档