当前位置:文档之家› 多功能数字时钟设计

多功能数字时钟设计

多功能数字时钟设计
多功能数字时钟设计

指导教师评定成绩:

审定成绩:

重庆邮电学院

自动化学院

计算机控制技术课程设计报告

设计题目:多功能数字时钟设计

单位(二级学院):自动化学院

学生姓名:****

专业:自动化

班级:08*****

学号:2009********

指导教师:*****

设计时间:2012 年 6 月

重庆邮电学院自动化学院制

摘要

数字时钟在日常生活中最常见,应用也最广泛。本次数字时钟电路根据设计要求采用AT89C51单片机作为控制核心,采用单片机内部计时器来实现时、分、24小时计时,采用DS18B20来实现温度的测量,采用LED实现时间、温度显示,采用蜂鸣器实现闹铃功能。

文章的核心主要是硬件设计和软件编程两个大的方面。硬件电路设计主要包括中央处理单元电路、时钟电路、温度测量电路、键盘扫描电路、闹铃电路。软件用C语言来实现,主要包括主程序、时间设置子程序、温度测量子程序、键盘扫描子程序、闹铃电路子程序等软件模块。

最终电路实现了显示时间、调整时间、测量并显示温度、闹钟定时及响动等功能,达到了设计的要求和目的。在Protuse软件上进行了仿真和调试通过,并最后焊接出实物实现其所有功能。

关键词:数字时钟;AT89C51;DS18B20;LED;蜂鸣器

目录

摘要............................................... 错误!未定义书签。目录. (3)

一设计题目 (4)

1.1 多功能数字时钟设计 (4)

1.2 设计目的 (4)

1.3 设计要求 (4)

二设计报告正文 (5)

2.1 设计方案总体方向的选择 (5)

2.2 温测芯片及显示部分的选择 (5)

2.2.1 温测芯片的选择 (5)

2.2.2 显示部分的选择 (5)

2.3 核心芯片选择 (5)

2.3.1 AT89C51简介 (5)

2.3.2 DB18B20简介 (6)

2.3.3 DB18B20特性 (6)

2.4 系统硬件设计 (8)

2.4.1 硬件主要设计电路 (8)

2.4.2 温度测量电路设计 (9)

2.4.3 键盘扫描.............................. 错误!未定义书签。

2.4.4 LED显示.............................. 错误!未定义书签。

2.4.5 闹铃电路设计 (11)

2.4.6 复位电路、时钟电路设计 (12)

2.5 系统软件设计 (13)

三系统模拟仿真及实现 (14)

3.1 Proteus仿真............................... 错误!未定义书签。

3.2 实物实现.................................. 错误!未定义书签。四设计总结. (15)

五参考文献 (16)

六附录 (17)

一、设计题目

1.1多功能数字钟设计

设计制作一个24小时制多功能数字钟。通过该数字钟可以显示小时、分钟、有AM、PM指示器,具有时间设置(小时和分钟)、闹钟时间设置、闹钟开、闹钟关功能。通过温度传感器检测环境温度,并显示当前环境温度信息。

1.2设计目的:

1.掌握LED显示设计方法;

2.掌握数据采集电路设计方法;

3.掌握测控系统设计方法。

1.3设计要求:

1.画出系统结构功能结构框图;

2.选择合适元器件进行电路设计,画出电路原理图;

3.画出系统功能实现程序流程图;

4.编写程序。

二、设计报告正文

2.1设计方案总体方向的选择

针对要实现的功能,采用AT89C51单片机进行设计,AT89C51 单片机是一款低功耗,高性能CMOS8位单片机,片内含4KB在线可编程(ISP)的可反复擦写1000次的Flash只读程序存储器,器件采用高密度、非易失性存储技术制造,兼容标准MCS- 51指令系统及80C51引脚结构。这样,既能做到经济合理又能实现预期的功能。

在总体方面,采用分块设计的方法,这样既减小了编程难度、使程序易于理解,又能便于添加各项功能。程序可分为延时程序,闹钟定时程序,时间、日期调整程序,显示程序,温测显示程序,中断程序等。通过各模块之间的兼容和配合,完成整个系统的设计。

2.2温测芯片及显示部分的选择

2.2.1温测芯片的选择

DS18B20是美国DALLAS公司生产的单线数字温度传感器芯片,具有结构简单、体积小、功耗低、抗干扰能力强、使用简单等优点。它的ROM中存有其芯片的唯一标识码,即任意两个DS18B20的标识码是不同的,特别适合于微处理芯片构成多点温度测控系统。它支持“一线总线”接口,使用户可以轻松地组建传感器网络。其内部采用在板温度测量专利技术,测量范围为-55~+125℃,精度为0.5℃。DS18B20内部结构主要由四部分组成:64位光刻ROM、温度传感器、非挥发的稳定报警触发器TH和TL、配置寄存器。

该芯片非常适合本设计,予以采用。

2.2.2显示部分的选择

用单片机驱动LED数码管显示有很多方法,按显示方式分有静态显示和动态显示。静态显示方式虽然显示的数据稳定,无闪烁,占用CPU时间少。但是由于数码管始终发光,功耗比较大,所以不予采用。而动态扫描用分时的方法轮流控制每个显示器的COM端,使每个显示器轮流电亮。在轮流点亮过程中,每位显示器的点亮时间极为短暂,给人的印象就是一组稳定的显示数据。动态驱动一般用于多位LED数码管显示,主要是节省驱动管脚,减少器件。故选择动态显示。2.3核心芯片介绍

2.3.1 AT89C51简介

AT89C51是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低电压,高性能CMOS8位微处理器,俗称单片机。AT89C单片机为很多嵌入式控制系统提供了一种灵活性高

且价廉的方案。AT89C51外形及引脚排列如图2.1所示:

图2.1 AT89C51

其具有与MCS-51 兼容、4K字节可编程闪烁存储器、寿命:1000写/擦循环、数据保留时间:10年、全静态工作:0Hz-24MHz、三级程序存储器锁定、128×8位内部RAM、32可编程I/O线、两个16位定时器/计数器、5个中断源、可编程串行通道、低功耗的闲置和掉电模式、片内振荡器和时钟电路等多种特性。

2.3.2 DS18B20简介

DS18B20 数字温度计提供 9 —12位分辨率,指示器件的温度。

信息经过单线接口送入 DS18B20或从DS18B20送出,因此从中央处理器到DS18B20仅需连接一条线(和地)。读、写和完成温度变换所需的电源可以由数据线本身提供,而不需要外部电源。因为每一个DS18B20 有唯一的系列号(silicon serial number),因此多个DS18B20可以存在于同一条单线总线上。这允许在许多不同的地方放置温度灵敏器件,此特性的应用范围包括 HVAC环境控制,建筑物、设备或机械内的温度检测,以及过程监视和控制中的温度检测。

2.3.3 DS18B20特性

独特的单线接口,只需1个接口引脚即可通信;多点(multidrop)能力使分布式温度检测应用得以简化;不需要外部元件;可用数据线供电;不需备份电源;测量范围从-55 至+125,以12位数字值方式读出温度;在750ms典型值内把温度变换为数字;用户可定义的9-12位分辨率。非易失性的温度告警设

置;告警搜索命令识别和寻址温度在编定的极限之外的器件(温度告警情况); 应用范围包括恒温控制,工业系统,温度计或任何热敏系统等。 1、DS18B20引脚排列

引脚排列如图2.2所示: 引脚说明: GND 地

DQ 数字输入输出 VDD 可选的VDD NC 空引脚 DNC 不连接

引脚详细说明见表2.1 图2.2 DB18B20引脚排列

表2.1

2、测量温度

DS18B20 通过门开通期间内低温度系数振荡器经历的时钟周期个数计数来测量温度,而门开通期由高温度系数振荡器决定计数器予置对应于-55的基数,如果在门开通期结束前计数器达到零,那么温度寄存器它也被予置到-55的数值将增量指示温度高于-55;同时计数器用钭率累加器电路所决定的值进行予置,为了对遵循抛物线规律的振荡器温度特性进行补偿,这种电路是必需的。时钟再次使计数器计值至它达到零,如果门开通时间仍未结束那么此过程再次重复。

钭率累加器用于补偿振荡器温度特性的非线性,以产生高分辩率的温度测量。通过改变温度每升高一度,计数器必须经历的计数个数来实行补偿。因此为了获得所需的分辩率,计数器的数值以及在给定温度处每一摄氏度的计数个数(钭率累加器的值)二者都必须知道。

此计算在DS18B20内部完成以提供0./625的分辩率温度读数,以16位符号扩展的二进制补码读数形式提供。表2.2说明输出数据对测量温度的关系数据在单线接口上串行发送DS18B20,可以以0.0625的增量值在-55至+125的范围内测量温度。对于应用华氏温度的场合必须使用查找表或变换系数。

引脚

8脚SOIC 引脚

FR35 符号 说明

5 1 GND 地

4

2

DQ 单线运用的数据输入/输出引脚 3 3 VDD

可选VDD 的引脚。

注意,在DS18B20中温度是以1/2 LSB (最低有效位)形式表示时产生以下

12位格式:MSB (最高有效位) (最低有效位) LSB

其中s 为符号位这种符号扩展产生了如表2.2所示的16位温度读数。 以下的过程可以获得较高的分辩率。首先,读温度并从读得的值截去0.5位(最低有效位),这个值便是(TEMP_READ ),然后可以读留在计数器内的值。此值是门开通期停止之后计数剩余,所需的最后一个数值是在该温度处每一摄氏度的计数个数(COUNT_PER_C) ,于是用户可以使用下式计算实际温度:

(___)

_0.25__COUNT PER C COUNT REMAIN TEMPERATURE TEMP READ COUNT PER C -=--

表2.2 温度/数据关系

2.4系统硬件设计

2.4.1 硬件主要设计电路

本系统设计的硬件电路由主控部分(AT89C51)、测温部分(DS18B20)、显示部分(八段数码管)、按键部分(开关按钮)、闹铃部分(蜂鸣器)5个部分组成。各部分之间相互协作,构成一个统一的有机整体,实现多功能数字时钟的功能。各部分硬件电路设计如图2.3所示。流程图如图2.4所示。设计总电路图及其PCB 图见附录。

s

s s s s 1 1 0 1

1

1

1

1

温度 数字输出(二进制) 安息字输出(十六进制)

+125 00000111 11010000 07D0H +85 00000101 00101000 0550H +10.125 00000000 10100010 00A2H +0 00000000 00000000 0000H -0.5 11111111 11111000 FFF8H -25.0625 11111110 01101111 FE6FH -55

11111100 10010000

FC90H

图2.3总体电路设计图

图2.4 总体流程图

2.4.2 温度测量电路的设计

DS18B20是美国DALLAS 公司生产的单线数字温度传感器芯片,具有结构简

单、体积小、功耗低、抗干扰能力强、使用简单等优点。它的ROM 中存有其芯片的唯一标识码,即任意两个DS18B20的标识码是不同的,特别适合于微处理芯片构成多点温度测控系统。它支持“一线总线”接口,使用户可以轻松地组建传感器网络。其内部采用在板温度测量专利技术,测量范围为-55~+125℃,精度为0.5℃。DS18B20内部结构主要由四部分组成:64位光刻ROM 、温度传感器、非挥发的稳定报警触发器TH 和TL 、配置寄存器。DS18B20与单片机的接口电路如图2.5所示:

AT89C51

电源

温度测量DS18B20

蜂鸣器闹铃 数码管显示电路

手动按键

图2.5 温度测量电路

2.4.3 键盘扫描

通过独立式键盘设计,每个按键各接一条I/O口线,通过检查I/O输入线的电平状态判断哪个键被按下。其设计电路如图2.6所示:

图2.6 键盘设计电路

2.4.4 LED显示

LED显示采用动态显示方式,节省I/O口使用数量,减少器件使用。其硬件电路如图2.7所示:

图2.7 LED硬件连接图

2.4.5 闹铃电路设计

在原有的显示时间的基础增加了一个闹钟设计。设定一个时间,当设定时间到达蜂鸣器就会响起,按下KEY5键或者等待1分钟关闭闹钟。为了区别闹钟与时间的设置,我们利用数码管最后两位进行区分,当显示一个点时设置时间,两个点时设置闹钟。闹钟设计电路如图2.8所示,其流程图如图2.9所示:

图2.8 闹铃电路设计

图2.9 闹钟控制流程图

2.4.6 复位电路、时钟电路设计

利用单片机内部计时器计时可使总体设计更简单。复位电路、时钟电路设计如图2.10所示,其流程图如图2.11所示:

图2.10 复位电路、时钟电路设计

图2.11 时间设定流程图

2.5系统软件设计

本设计,程序采用C语言进行设计。程序中主要有以下几个主要子程序:AT89C51计数器中断程序,DS18B20初始化、读写程序,键盘操作,数码管显示程序,主程序。程序源代码见附录。

三、模拟仿真及实现

3.1 Proteus仿真

总体电路图设计完成后,对照电路图进行编程,编程完成后在proteus软件中仿真,具体仿真电路图见附录。

3.2 实物实现

按照protel电路图焊接实物,将程序烧入芯片,接好电源即可。硬件成品可实现上述所有要求。成品图片见附录。

四、设计总结

本次课程设计培养了我对计算机控制技术更全面的认识,以及对于PROTEUS、PROTEL、KEIL、VISUAL C++等相关软件的深入的学习,从而提高我分析解决此类问题的能力。同时,对于使用计算机仿真的方法也有了更加深入的了解。

虽然,本设计通过AT89S51控制单线数字温度传感器芯片DS18B20构成温度测量电路,实现温度的测量。同时又利用单片机AT89S51内部计时器,既实现计时功能又节约了大量资源。但是,由于存在单片机内部计时器精度不高,DS18B20测量温度不够精确等问题,所以本设计还有待继续研究和改进。

在本次课程设计中,对我帮助最大的还是老师在我求解的整个过程中对我的悉心指导与错误纠正。完全可以说碰到困难,最快捷的方法就是问老师,这也使得我在小问题上节省了很多时间来应付更大的障碍。特在此忠心感谢我的指导老师蒋建春老师!

五、参考文献

[1]张毅刚.单片机原理及应用[M].北京:高等教育出版社,2010.5.

[2]曹洪奎.基于proteus单片机系统设计与仿真[M].

[3] AT89S51中文资料

[4] DS18B20中文资料

六、附录设计电路总图:

PCB图:

Proteus仿真图:

实拍图:

程序源代码:

#include

#include

#define uchar unsigned char

#define uint unsigned int

sbit KEY1=P3^0; //选择键

sbit KEY2=P3^1; //确认键

sbit KEY3=P3^2; //小时增加键

sbit KEY4=P3^3; //分钟增加键

sbit KEY5=P3^4; //闹钟开关

sbit speak=P1^1; //蜂鸣器

sbit led=P1^4;//闹钟开关指示灯

sbit LE=P1^0;

sbit DQ = P3^5; //DS18b20定义通信端口

code uchar tab[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x73};//0 1 2 3 4 5 6 7 8 9

code uchar tab1[]={0x77,0x73}; //上下午A P

uint flag=0; //按键次数标识

uint flag1=1; //闹钟开关标识

uint flag2=0;

uint sec; //秒计时

uint count; //计数

uint ap=0,ap1=0;

uchar minute=34,hour=12;

《数字逻辑》数字时钟课程设计报告资料

《数字逻辑》课程设计报告 题目数字时钟 学院(部)信息工程学院 专业计算机科学与技术 班级计算机一班 学生姓名 学号20132402 6 月29 日至 7 月 3 日共1 周 指导教师(签字)

题目 一.摘要: 钟表的数字化给人们的生产生活带来了极大的方便,并且极大的扩展了钟表原先的报时功能。诸如定时自动报有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常警、学校的按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯,甚至各种定时电气的自启用等。所现实的意义。本次数电课设我组设计的数字时钟是由石英晶体振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路和计时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器在七段显示器上显示时间。 二.关键词: 校时计时报时分频石英晶体振荡器 三.技术要求: 1、有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能; 2、有计时功能,时钟不会在计时的时候停下。计时范围是0~99秒; 3、有闹铃功能,闹铃响的时间由使用者自己设置,闹铃时间至少一分钟; 4、要在七段显示器(共阴极6片)显示时间; 5、电子钟要准确正常地工作。 四、方案论证与选择: 钟表的是长期使用的器件,误差容易积累由此增大。所以要求分频器产生的秒脉冲要极其准确。而石英晶体产生的信号是非常稳定的,所以我们使用石英晶体产生的信号经过分频电路作为秒脉冲。秒脉冲信号经过6级计数器,分别得到“秒”、“分”、“时”的个位、十位的计时。由实际的要求,“秒”、“分”计数器为60进制的计数器,小时为24进制。由于74LS160十进制加法计数器易于理解使用,我们在设计各个计数器时都是由采用74LS160芯片级联构成。在计时部分,最小单位是0.01s,我们采用555多谐振荡器产生100HZ的信号作为秒脉冲进入一个4级计数器,计时范围是0~99秒。石英晶体

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

多功能数字钟的VHDL设计

毕业设计论文 多功能数字钟的VHDL设计 系 xxxxxxxxxxxxxxxxx 专业 xxxxxxxxxxxxx 学号 xxxxxxxxxxx 姓名 xxxxxxx 班级 xxxxxxxxxxxx 指导老师 xxxxxxxxxx 职称 指导老师职称 毕业设计时间 2009年11月——2010年1月

摘要:应用VHDL语言编程,进行了多功能数字钟的设计,并在MAX PLUSⅡ环境下通过了编译、仿真、调试。 关键词:VHDL;EDA;数字钟;仿真图 0.引言 随着科学技术的迅猛发展,电子工业界经历了巨大的飞跃。集成电路的设计正朝着速度快、性能高、容量大、体积小和微功耗的方向发展。基于这种情况,可编程逻辑器件的出现和发展大大改变了传统的系统设计方法。可编程逻辑器件和相应的设计技术体现在三个主要方面:一是可编程逻辑器件的芯片技术;二是适用于可逻辑编程器件的硬件编程技术,三是可编程逻辑器件设计的EDA开发工具,它主要用来进行可编程逻辑器件应用的具体实现。在本实验中采用了集成度较高的FPGA 可编程逻辑器件, 选用了VHDL硬件描述语言和MAX + p lusⅡ开发软件。VHDL硬件描述语言在电子设计自动化( EDA)中扮演着重要的角色。由于采用了具有多层次描述系统硬件功能的能力的“自顶向下”( Top - Down)和基于库(L ibrary - Based)的全新设计方法,它使设计师们摆脱了大量的辅助设计工作,而把精力集中于创造性的方案与概念构思上,用新的思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短 了产品的研制周期。MAX + p lusⅡ是集成了编辑器、仿真工具、检查/分析工具和优化/综合工具的这些所有开发工具的一种集成的开发环境,通过该开发环境能够很方便的检验设计的仿真结果以及建立起与可编程逻辑器件的管脚之间对应的关系。 1. EDA简介 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

用VHDL实现数字时钟的设计[1]

收稿日期:2007-06-04 第一作者 刘竹林 男 27岁 助教 用V HDL 实现数字时钟的设计 刘竹林 李晶骅 (十堰职业技术学院电子工程系,湖北十堰442000) 摘 要:以一款数字钟设计为例,较详细的介绍了如何用VHDL 语言设计数字电路,并给出了部分程序、仿真 波形图,并在MAX +plusII 中进行编译、仿真、下载。由此说明利用VHDL 开发数字电路的优点。 关键词:VHDL ;设计;数字钟;应用电路中图分类号:TN953 文献标识码:A 0 引言 VHDL 硬件描述语言在电子设计自动化(EDA )中扮演 着重要的角色,它的出现极大的改变了传统的设计方法、设 计过程乃至设计观念。由于采用了“自顶向下” (Top 2Down )的全新设计方法,使设计师们摆脱了大量的辅助设计工作, 而把精力集中于创造性的方案与概念构思上,用新的思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短了产品的研制周期。 这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后用综合优化工具生成具体门电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这不仅有利于早期发现结构设计上的错误,避免设计工作的浪费,而且也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。 1 用V HDL 设计一款数字钟 我们设计的数字时钟原理框图如图1。其基本功能划 分为:计数模块(包括秒、分、时)、译码模块、扫描显示控制模块。计数模块由两个60进制计数器和一个24进制计数器组成,分别对秒、分、小时进行计数,当计数到23点59分59秒的时候,即一天结束,计数器清零, 新的一天重新开始计数。 图1 数字时钟原理框图 秒计数器的计数时钟信号为1Hz 的标准信号,可以由系 统板上提供的4MHz 信号通过222分频得到。秒计数器的进位输出信号作为分钟计数器的计数信号,分钟计数器的进位输出信号又作为小时计数器的计数信号。设计一个同时显示时、分、秒6个数字的数字钟,则需要6个七段显示器。若同时点亮这6个七段显示器,则电路中会产生一个比较大的电流,很容易造成电路烧坏,我们通过扫描电路来解决这一问题,通过产生一个扫描信号CS (0)-CS (5)来控制6个七段显示器,依次点亮6个七段显示器,也就是每次只点亮一个七段显示器。只要扫描信号CS (0)-CS (5)的频率超过人的眼睛视觉暂留频率24Hz 以上,就可以达到尽管每次点亮单个七段显示器,却能具有6个同时显示的视觉效果,而且显示也不致闪烁抖动。 其中6位扫描信号一方面控制七段显示器依次点亮,一方面控制6选1选择器输出相应显示数字。 2 模块设计 2.1 VHDL 语言的基本结构 一个独立的设计实体通常包括:实体(EN TIT Y )、结构体(ARCHITECTURE )、配置(CONFIGURA TION )、包集合(PACKGE )、和库(L IBRAR Y )5个部分。其中实体用于描述所设计的系统的外部接口信号;构造体用于描述系统内部的结构和行为;建立输入和输出之间的关系;配置语句安装具体元件到实体—结构体对,可以被看作是设计的零件清单;包集合存放各个设计模块共享的数据类型、常数和子程序等;库是专门存放预编译程序包的地方。VHDL 程序设计基本结构如图2 。 图2 VHDL 程序设计基本结构 2.2 各模块的实现 2.2.1 计数模块(建立VHDL 语言的工程文件) 计数模块由两个60进制计数器和一个24进制计数器组成,分别对秒、分、小时进行计数。其VHDL 源程序相差不大由于篇幅有限,这里我们以秒模块的实现为例。程序如下: library ieee ; use ieee.std -logic -1164.all ;entity counter -60-bcd is 山西电子技术 2008年第1期 应用实践

数字时钟课程设计报告

《电子线路课程设计报告》 系另 1」: 机电与自动化学院 专业班级:电气及自动化技术1001 学生姓名:陈星涯 指导教师:梁宗善 i=r (课程设计时 间: 2012年1月3日——2012年1月13日) 华中科技大学武昌分校 1.课程设计目的................................................. 3页 2.课程设计题目描述和要求....................................... 3页 2.1课程设计题目............................................. 3页

2.2课程设计要求............................................. 3页 3. ......................................................................................................... 比较和选定设计的系统方案.................................................... 4页 3.1数字钟的构成............................................. 4页 4.单元电路设计及工作原理....................................... 5页 4.1时基电路................................................. 5页 a. 多谐振荡器的工作原理................................... 5页 4.2计数器................................................... 7页 a.中规模计数器组件介绍.................................. 7页 b.60 进制计数器 .......................................... 8页 C.12 翻1计数器........................................... 9页 4.3译码器................................................... 10页 4.4显示器................................................... 10页 4.5校时电路................................................. 11页 4.6定时控制电路............................................. 12页 4.7仿广播电台正点报时电路................................... 13页 5.调试过程及分析............................................... 14页 5.1显示器故障排查........................................... 14页 5.2计数器调试及分析......................................... 15页 5.3校时电路的调试........................................... 16页 5.4增加抗干扰电路........................................... 16页 5.5闹时电路的调试........................................... 17页 5.6仿广播电台整点报时电路调试............................... 17页 6.课程设计总结................................................. 17页 7.参考文献..................................................... 19页 8.附件一:电子时钟主体电路电路图............................... 20页 9.附件二:扩展电路电路图....................................... 21页 10.附件三:系统所需元器件清单 ................................ 22页 11.课程设计成绩.............................................. 23页 一、设计任务与目的 数字时钟是一种利用数字电路技术实现时、分、秒计时的装置,与传统的

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

多功能数字钟设计

摘要 本实验是利用QuartusII 7.0软件设计一个多功能数字钟,进行试验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。此外还添加了显示星期,闹钟设定等附加功能,使得设计的数字钟的功能更加完善。 关键字:QuartusII、数字钟、多功能、仿真 Abstract This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting,hour-correcting,minute-correcting,reset,time-holding and belling on the hour. And then validated the design on the experimental board. In addition, additional functions like displaying and resetting the week and setting alarm make this digital clock a perfect one. Key words: Quartus digital-clock multi-function simulate

目录 一.设计要求 (4) 二.工作原理 (4) 三.各模块说明 (5) 1)分频模块 (5) 2)计时模块 (7) 3)动态显示模块 (9) 4)校分与校时模块 (10) 5)清零模块 (11) 6)保持模块 (12) 7)报时模块 (12) 四.扩展模块 (12) 1)星期模块 (12) 2)闹钟模块 (13) 五.总电路的形成 (16) 六.调试、编程下载 (17) 七.实验感想 (17) 八.参考文献 (20)

数字时钟设计实验报告

电子课程设计题目:数字时钟

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一 数字时钟电路框图 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒信号发生器

四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 ?振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz 脉冲。 ?分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz标准秒脉冲。其电路图如下: 图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 ?60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下:

数字电子时钟课程设计总结报告

《数字逻辑电路设计》课程设计 总结报告 题目:数字电子钟设计 指导教师: 设计人员: (学号): 班级:

日期:2018年12月

一.设计任务书 任务:数字电子钟设计 基本设计要求:仿真实现数字电子钟 1.要求能显示“时”“分”“秒” 2.时24小时,分60分钟,秒60。 3.能够校时,校分 电路在实验箱上实现 二.设计框图及整机概述 设计框图: 概述:数字电子时钟电路系统由秒信号发生器、校分校时电路、“时、分、秒”计数器和“时、分、秒”显示器组成。秒信号发生器将秒信号送入秒计时器,秒计时器为六十进制计数器,每计六十个数便发送分脉冲信号给分计数器,分计数器也为六十进制计数器,每计六十个数便发送时脉冲信号给时计数器,时计数器是二十四进制计数器。“时、分、秒”显示器将计数器输

出的状态显示出来。 三.各单元电路的设计方案及原理说明 1.六十进制计数器 计数器是对cp脉冲进行计数的时序逻辑电路。“分”和“秒” 的计数由六十进制计数器实现,74LS161为16进制计数器, 两片74LS161EP和ET恒为1,均工作在计数状态,当分个位 和秒个位计数器计到9(1001)时,CLOR端为高电平,经反 相器后使时位CLK端为低电平。当下一个计数输入脉冲到达后,个位记成0(0000),此时CLOR端跳回低电平,时位计数1。 计数器从0开始计数,当计入60个脉冲时,经与非门产生低 电平,立即将两片74LS161同时置零,得到60进制计数器。 2.二十四进制计数器 时的计数由二十四进制计数器实现,当计入24个脉冲的

时候,经与非门产生的低电平信号即将两片74LS161同时置零,得到二十四进制计数器。 3.显示电路 计数器输出的是8421BCD码,需译码器将其转为阿拉伯数字。 4.校时电路 利用校时电路截断分十位和时十位的直接计数通路,当校时电路中的开关截断时,其中的与非门一端接高电平,另一端接秒/分十位的进位输出端,若秒/分十位的进位输出端输出的是低电平,则分/时个位的CLK有低电平的信号输入,此时得到

基于VHDL的多功能数字钟设计报告

基于VHDL的多功能数字钟 设计报告 021215班 卫时章 02121451

一、设计要求 1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。 2、设计精度要求为1秒。 二、设计环境:Quartus II 三、系统功能描述 1、系统输入:时钟信号clk采用50MHz;系统状态及较时、定时转换的控制信号为k、set,校时复位信号为reset,均由按键信号产生。 2、系统输出:LED显示输出;蜂鸣器声音信号输出。 3、多功能数字电子钟系统功能的具体描述如下: (一)计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。 (二)校时:在计时显示状态下,按下“k”键,进入“小时”待校准状态,若此时按下“set”键,小时开始校准;之后按下“k”键则进入“分”待校准状态;继续按下“k”键则进入“秒”待复零状态;再次按下“k”键数码管显示闹钟时间,并进入闹钟“小时”待校准状态;再次按下“k”键则进入闹钟“分”待校准状态;若再按下“k”键恢复到正常计时显示状态。若校时过程中按下“reset”键,则系统恢复到正常计数状态。 (1)“小时”校准状态:在“小时”校准状态下,显示“小时”的数码管以2Hz 闪烁,并按下“set”键时以2Hz的频率递增计数。 (2)“分”校准状态:在“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (3)“秒”校准状态:在“秒复零”状态下,显示“秒”的数码管以2Hz闪烁,并以1Hz的频率递增计数。 (4)闹钟“小时”校准状态:在闹钟“小时”校准状态下,显示“小时”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (5)闹钟“分”校准状态:在闹钟“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (三)整点报时:蜂鸣器在“59”分钟的第“51”、“53”、“55”、“57”秒发频率为500Hz的低音,在“59”分钟的第“59”秒发频率为1000Hz的高音,结束时为整点。 (四)显示:采用扫描显示方式驱动4个LED数码管显示小时、分,秒由两组led灯以4位BCD 码显示。 (五)闹钟:闹钟定时时间到,蜂鸣器发出频率为1000Hz的高音,持续时间为60秒。 四、各个模块分析说明 1、分频器模块(freq.vhd) (1)模块说明:输入一个频率为50MHz的CLK,利用计数器分出 1KHz的q1KHz,500Hz的q500Hz,2Hz的q2Hz和1Hz的q1Hz。 (2)源程序: library ieee;

多功能数字时钟设计

课程设计报告 学生姓名:刘佳 学 号:2017307010102 学院:电气工程学院 班级:通信171 题目:多功能数字时钟设计 指导教师:刘晓峰职称: 高级实验师指导教师:杨修宇职称: 实验师 2018 年 12 月 28 日

目录 1. 设计要求 (3) 2. 设计原理及框图 (3) 2.1 模块组成 (3) 3. 器件说明 (4) 4. 设计过程 (8) 4.1显示电路模块设计 (8) 4.2时钟脉冲电路模块设计 (9) 4.3计时模块电路设计 (10) 4.4计时校时控制模块电路设计 (11) 4.5整点报时与定点报时模块电路设计 (12) 5. 仿真调试过程 (13) 6. 收音机原理及焊接调试 (14) 6.1收音机原理 (14) 6.2收音机焊接工艺要求 (16) 6.3收音机调试过程 (16) 7. 设计体会及收获 (17)

1. 设计要求 (1)以24小时为一个计时周期,稳定的显示时、分、秒。 (2)当电路发生走时误差时,可以对所设计的时钟进行校时。 (3)电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。 (4)电路具有闹钟功能,当闹钟所设定时间与时钟计时相同时,发出提示音, 时长为一分钟。 2. 设计原理及框图 2.1 模块组成 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组。如图1所示。 图1 多功能数字时钟原理框图 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组成。时钟脉冲电路模块由振荡电路与分频电路组成,为数字时钟提供秒脉冲信号、定点整点报时信号以及调试信号。计时电路包括“秒”计时、“分”计时与“时”计时电

微机原理课程设计报告-数字时钟的实现(附代码)

合肥工业大学 计算机与信息学院 课程设计 课程:微机原理与接口技术设计专业班级:计算机科学与技术x班学号: 姓名:

一、设计题目及要求: 【课题6】数字时钟 1.通过8253 定时器作产生秒脉冲定时中断。在中断服务程序中实现秒、分、小时的进位(24小时制)。 2.在七段数码管上显示当前的时分秒(例如,12 点10 分40 秒显示为121040)。 3.按“C”可设置时钟的时间当前值(对准时间)。 二、设计思想: 总体思想: 1、功能概述: 实验箱连线: 本实验建立在Dais实验箱基础上完成的基本连线及程序如下: 138译码器: A,B,C,D,分别连接A2,A3,A4,GS; y0连接8253的CS片选信号; y1连接8259的CS片选信号; 8253连线: 分频信号T2接8253的CLK0; 8253的OUT0接8259的IR7; 8253的gate信号接+5V; 8259连线: 8259的数据线接入数据总线;

本程序包括显示模块,键盘扫描模块,时间计数模块,设置模块等几个模块, (1)程序运行后,LED显示000000初始值,并且开始计数 (2)按C键进行设置初始时间,考虑到第一个数只能是0,1,2,当第一个数显示2时第二个数只能显示0~4,同理下面各位应满足时钟数值的合理的取值; (3)在手动输入初始值时,按D键进行回退1位修改已设置值,连续按D键可以全部进行删除修改。 2、主程序设计 主程序中完成通过调用子程序完成对8253及8259的初始化,对8259进行中断设置。主要在显示子程序和键盘子处理程序之间不断循环,8253每一秒给8259一个刺激,当8259接受到刺激后会给CPU一个中断请求,CPU会转去执行中断子程序,而中断子程序设置成时间计数加,即完成电子表的整体设计。详细流程图见图三-1。 3、LED显示子程序设计 本程序显示部分用了6个共阳极LED作为显示管,显示程序要做到每送一次段码就送一次位码,每送一次位码后,将位码中的0右移1位作为下次的位码,从而可以实现从左到右使6个LED依次显示出相应的数字。虽然CPU每隔一定时间便执行显示程序,但只要这个时间段不太长,由于人眼的视觉作用,就可以在6个LED上同时见到数字显示。 4、键盘扫描子程序设计 本程序需要用键盘对时间的初始值进行设置,因此对键盘扫描的子程序需要满足的功能如下: 判断是否是C键,若不是就返回至主程序,若是C键就开始对时间初始值进行设置,同时因注意到第一个值不可以超过2,第一个数是2时第二数不能超过4,余下的同理要满足时间数值的取值范围呢,若不是合法输入不予反应继续等待输入。当遇到输入数值错误时可以按下D键进行删除一位重新设置;当6位初始值全部设置成功后,电子表将自动开始走表。 5、时间运算子程序设计 该子程序的主要功能是对时、分、秒的运算,并把运算出的最终结果存到事先已经开辟

数字闹钟课程设计报告

数字电路实验课程设计报告 数字闹钟

第一部分设计任务 1.1设计任务 设计、制作一个带有校时功能、可定时起闹的数字闹钟。 1.2设计指标 1、有“时”、“分”十进制显示,“秒”使用分个位数码管上的DP点显 示。 2、计时以24小时为周期。(23:59→00:00) 3、具有校时电路,可进行分、时较对。 4、走时过程能按预设的定时时间(精确到小时)启动闹钟产生闹铃, 闹铃响时约3s。

第二部分设计方案 2.1总体设计方案说明 系统组成: 秒信号发生器:由LM555构成多谐振荡器 走时电路:计数器和与非门组成 校时电路:秒信号调节 闹钟电路:跳线的方法由计数器、译码器、组合逻辑电路、单稳态电路组成 显示电路:译码器数码管 模块结构与方框图

第三部分 电路设计与器件选择 3.1 秒信号发生器 3.1.1模块电路及参数计算 提供秒脉冲 取R1=1.5K,R2=2.4K C=220uF T≈1S 3.1.2工作原理和功能说明 3.1.3器件说明 内部电路组成: (1)分压器(3 个R) (2) 电压比较器 (A1、A2) (3)RS 触发器 (4)反相器 (5)晶体管T 1端GND 地 2端TR 低电平触发输入 3端UO 输出 4端RD 直接清0 5端CV 电压控制,不用 时经0.01 F 电容 接地 6端TH 高电平触发输入 7端D 三极管集电极 8端VCC 电源(4.5V~18V) C R T C R R T PL PH 2217.0)(7.0=+=z 11 H T f == C R R T T T PL PH )2(7.021+=+=

数电课程设计多功能数字钟的电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4)

2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

数电课程设计多功能数字钟的设计与实现

课程设计任务书 题目: 多功能数字钟的设计与实现 初始条件: 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示时间计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。 3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。 4)设计提供连续触发脉冲的脉冲信号发生器, 5)具有校时单元、闹钟单元和整点报时单元。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《******大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年 3 月18 日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年3 月22日至2013 年5 月10 日,方案选择和电路设计。 3、2013 年5 月25 日至2013 年7 月2 日,电路调试和设计说明书撰写。 4、2013 年7 月5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1 引言 (1) 1.1 数字钟简介 (1) 1.2 EWB简介 (1) 2 方案选择 (3) 3 系统框图 (4) 4 分电路设计 (5) 4.1 脉冲产生电路 (5) 4.1.1设计要求 (5) 4.1.2所需元件 (6) 4.1.3元件介绍 (6) 4.1.4参数计算 (7) 4.1.5电路设计 (8) 4.2计数电路 (9) 4.2.1秒电路 (9) 4.2.2分电路 (11) 4.2.3时电路 (13) 4.3显示电路 (14) 4.3.1所需元件 (14) 4.3.2元件介绍 (14) 4.3.3原理说明 (14) 4.3.4电路设计 (15) 4.4整点报时电路 (15)

数字钟设计实验报告

湖南工业职业技术学院项目制作报告书 项目名称:新大屏幕数字钟制作 所属课程:数字电子技术 系别电气工程 专业班级电信S2009-2 学生姓名易延烽 学号16 项目指导老师李佳老师 电子邮箱 联系Q Q 571040889 2011-1-1

新大屏幕数字钟的制作 一.设计目的 a.熟悉集成电路的引脚安排. b.掌握各芯片的逻辑功能及使用方法. c.了解面包板结构及其接线方法. d.了解数字钟的组成及工作原理. e.熟悉数字钟的设计与制作. 二.设计要求 1.a.时间以24小时为一个周期,显示时,分,秒; b.有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; c.为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号. 2..制作要求 a.画出电路原理图(或仿真电路图); b.元器件及参数选择; c.电路仿真与调试; 3.制作要求自行装配和调试,并能发现问题和解决问题. 4.编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会. 三.设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路.由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定.通常使用石英晶体振荡器电路构成数字钟.图(1)

. ⑴晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定.不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路. ⑵分频器电路 分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数.分频器实际上也就是计数器. ⑶时间计数器电路 时间计数电路由秒个位和秒十位计数器,分个位和分十位计数器及时个

多功能数字钟实验报告

《多功能数字钟电路的设计、制作》 课程设计报告 班级:(兴) 2008级自动化 姓名:胡荣 学号:2008960623 指导教师:刘勇 2010年11月13日

目录 一、设计目的.................................1 二、设计内容及要求...........................1 三、总设计原理...............................1 四、主要元件及设备...........................2 五、单元电路的设计...........................5 1、数字电子计时器组成原理.................5 2、用74LS160实现12进制计数器..............6 3、校时电路...............................7 4、时基电路设计...........................8 六、设计总电路图.............................8 七、设计结果及其分析.........................8 八、设计过程中的问题及解决方案...............9 九、心得体会.................................9 十、附录.....................................10

多功能数字钟电路设计 一、设计目的 通过课程设计要实现以下两个目标:一、初步掌握电子线路的设计、组装及调试方法。即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让我们开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计内容及要求 1、功能要求: ①基本功能: 以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。 ②扩展功能: 定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。 2、设计步骤与要求: ①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低; ②设计各单元电路,并用Multisim软件仿真; ③在通用电路板上安装电路,只要求显示时分; ④测试数字钟系统的逻辑功能; ⑤写出设计报告。设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。 三、总设计原理 数字电子钟原理是一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。 四、主要元件及设备 1、给定的主要器件: 74LS00(4片),74LS160(4片)或74LS161(4片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),555(1片),开关(1个),电阻47k(2个)电容10uF(1个)10nF(1个) 各元件引脚图如下图:

相关主题
文本预览
相关文档 最新文档