当前位置:文档之家› 交通灯信号控制器的设计

交通灯信号控制器的设计

交通灯信号控制器的设计
交通灯信号控制器的设计

交通灯信号控制器的设计

一,设计任务与要求

交通灯控制信号用于主干道公路的交叉口,要求是优先保证主干道的畅通,因此,平时处于“主干道绿灯,支干道红灯”的状态。

1.当处于“主干道绿灯,支干道红灯”状态时:

(1)、主干道有车要求通行,支干道也有车要求通行时,若主干道通行时

间大于等于30S则切换到“主黄,支红”,4S后自动换到“主红,支绿”。

(2)、主干道无车要求通行,支干道有车要求通行时,立即切换到“主黄,

支红”,4S后自动自动切换到“主红,支绿”。

其他情况保持“主绿,支红”。

2.当处于“主红,支绿”状态时:

(1)、支道有车要求通行时,保持“主红,支绿”状态,但最多保持30S 然后自动切换到“主红,支黄”状态,4S后自动切换到“主绿,支红”状态。

(2)、支道无车要求通过时,立即切换到“主红,支黄”状态,4S后自动

切换到“主绿,支红”状态。

3.利用八位七段管码显示模块其中的2位实现时间显示。

二,总体框图

初步设计图

三,选择器件

1,(1)3-8译码器74138芯片.

(2)3-8译码器74138的逻辑功能表:

(3)3-8译码器74138的内部原理图:

(4)3-8译码器74138的作用:

74138有三个附加的控制端。当G1为高电平,G2AN和G2BN为低电平时,输出为高电平(S=1),译码器处于工作状态。否则,译码器被禁止,所有的输出端被封锁在高电平。这三个控制端也叫做“片选”输入端,利用片选的作用可以将多篇连接起来以扩展译码器的功能。

本设计所用实验箱的8个数码管采用扫描显示方式,数码管位选电路在扫描信号的控制下轮流输出8路数据,此8路数据时分复用一个BCD7段显示译码器。数码管位选电路同时输出位选信号,经74LS138译码器译码后分别选中8个数码管。在位选选中一个数码管的的情况下送出段码,在该段码管中显示段码字符,然后接着选中其它数码管,送其他字符。

四,功能模块

1..原理概述:

(1)由于主干道,支干道的交通灯均在绿,黄,红三种状态之间有顺序的转换,组合共有四种。所以,利用状态机按照设定的条件实现"主绿,支红" 、"主黄,支红" 、"主红,支绿"、"主红,支黄"4种状态之间的切换。

(2)因为红黄绿灯之间转换有时间限制,所以要有计数器,可用七段数码管显示模块,由于时间是两位数,所以只需要八位七段管码显示模块其中的2位实现时间显示。

(3)由于实验室提供的基准频率为50MHZ,所以得用分频器得到所需要的频率。2.各模块设计程序及模块图

1.1计数器:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY counter IS

PORT (clk:IN STD_LOGIC;

reset:in std_logic;

countNum:BuFFeR INTEGER RANGE 0 TO 64);

END;

ARCHITECTURE behavior OF counter IS

BEGIN

process(reset,Clk)

BEGIN

IF Reset='1' THEN

countNum<=0;

ELSIF rising_edge(Clk) THEN

IF countNum=64 THEN

countNum<=0;

ELSE

countNum<=countNum+1;

END IF;

END IF;

END PROCESS;

END;

生成模块图:

1.2七段显示器程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY bcd_data IS

PORT

(bcd_data:in STD_LOGIC_VECTOR(3 downto 0); segout: out STD_LOGIC_VECTOR(6 downto 0)); END;

ARCHITECTURE behavior OF bcd_data IS BEGIN

process(bcd_data)

BEGIN

case bcd_data is

when "0000"=>segout<="0111111";

when "0001"=>segout<="0000110";

when "0010"=>segout<="1011011";

when "0011" =>segout<="1001111";

when "0100" =>segout<="1100110" ;

when "0101"=>segout<="1101101" ;

when "0110"=>segout<="1111101" ;

when "0111"=>segout<="0000111" ;

when "1000" =>segout<="1111111" ;

when "1001" =>segout<="1101111";

when others =>null;

END CASE;

END PROCESS;

END;

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_unsigned.ALL;

ENTITY dtsm IS

PORT(clk:in STD_LOGIC;

NumA,NumB: in STD_LOGIC_VECTOR(3 downto 0); segout1:out STD_LOGIC_VECTOR(6 downto 0);

led_sel:out STD_LOGIC_VECTOR(2 downto 0)); END dtsm;

architecture bhv of dtsm is

component bcd_data is

port (bcd_data:in STD_LOGIC_VECTOR(3 downto 0);

segout:out STD_LOGIC_VECTOR(6 downto 0)); end component;

signal x:STD_LOGIC_VECTOR(3 downto 0);

signal Q:STD_LOGIC;

begin

p1:process(clk)

begin

if clk'event and clk ='1' then

if Q='1' THEN Q<='0';

ELSE Q<='1';

END IF;

end if;

end process;

p2:process(Q)

begin

case Q is

when'0'=>led_sel<="010";x<=NumB;

when'1'=>led_sel<="001";x<=NumA;

when others=>null;

end case;

end process;

u1:bcd_data PORT map(bcd_data=>x,segout=>segout1);

end;

生成模块图:

1.3分频器:分频器实现的是将高频时钟信号转换成低频的时钟信号,用于触发控制器、计数器和扫描显示电路。

1.3.1 分频到512Hz程序:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity fenpin123 is

port (clk: in std_logic;

clkfen: out std_logic);

end fenpin123;

architecture fenpin of fenpin123 is

signal clk_mid: std_logic;

begin

process(clk)

variable data:integer range 0 to 48828;---将基准信号分频到512Hz begin

if clk'event and clk='1' then

if data=48828 then

data:=0;

clk_mid<=not clk_mid;

else

data:=data+1;

end if;

end if;

clkfen<=clk_mid;

end process;

end fenpin;

生成模块图:

1.3.2分频到1Hz程序:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity fenpin is

port( clk:in std_logic;

finout:out std_logic);

end fenpin;

architecture bhv of fenpin is

signal tmp:INTEGER RANGE 0 TO 49999999; begin

process(clk)

begin

if clk'event and clk='1' then

if tmp=49999999 then tmp<=00000000;

else tmp<=tmp+1;

end if;

if tmp<25000000 then finout<='0';

else finout<='1';

end if;

end if;

end process;

end bhv;

生成模块图:

1.4分位程序

因为控制器输出的到计时数值可能是1位或者2位十进制数,所以在七段数码管的译码电路前要加上分位电路(即将其分为2个1位的十进制数,如25分为2和5,7分为0和7)。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

USE IEEE.STD_LOGIC_ARITH;

ENTITY FENWEI IS

PORT

(Numin:IN integer RANGE 0 TO 31;

NumA,NumB:OUT Integer RANGE 0 to 9

);

END;

ARCHITECTURE behavior OF FENWEI IS

BEGIN

process(Numin)

BEGIN

IF Numin>=30 THEN

NumA<=3;

NumB<=Numin-30;

ELSIF Numin>=20 THEN

NumA<=2;

NumB<=Numin-20;

ELSIF Numin>=10 THEN

NumA<=1;

NumB<=Numin-10;

ELSE

NumA<=0;

NumB<=Numin;

END IF;

END PROCESS;

END;

生成模块图:

1.5交通灯控制程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

USE IEEE.STD_LOGIC_ARITH;

ENTITY traffic IS

PORT(

CLK , SM , SB : IN BIT;

MR,MY,MG,BR,BY,BG : OUT BIT;

reset:OUT std_logic;

CountNum:in INTEGER RANGE 0 TO 64;

Num:out INTEGER RANGE 0 TO 31

);

END traffic;

ARCHITECTURE BEHA V OF traffic IS

TYPE STATE_TYPE IS (A,B,C,D);

SIGNAL STATE : STATE_TYPE ;

BEGIN

CNT:PROCESS(CLK,SM,SB,CountNum)

BEGIN

IF CLK'EVENT AND CLK='1' THEN

CASE STATE IS

WHEN A => MR<='0';MY<='0';MG<='1';--主绿支红

BR<='1';BY<='0';BG<='0';

RESET<='0';

IF CountNum<=29 THEN

Num<=30-CountNum;

ELSE

STATE<=B;RESET<='1';

END IF;

END IF;

IF (SB AND(NOT SM))='1' THEN

STATE<=B;RESET<='1';

END IF;

WHEN B => MR<='0';MY<='1';MG<='0';--主黄支红BR<='1';BY<='0';BG<='0';

RESET<='0';

IF CountNum<=3 THEN

Num<=4-CountNum;

ELSE

STATE<=C;RESET<='1';

END IF;

WHEN C => MR<='1';MY<='0';MG<='0';--主红支绿BR<='0';BY<='0';BG<='1';

RESET<='0';

IF (SB AND SM)='1' THEN

Num<=30-CountNum;

ELSE

STATE<=D;RESET<='1';

END IF;

END IF;

IF SB='0' THEN

STATE<=D;RESET<='1';

END IF;

WHEN D => MR<='1';MY<='0';MG<='0';--主红支黄

BR<='0';BY<='1';BG<='0';

RESET<='0';

IF CountNum<=3 THEN

Num<=4-CountNum;

ELSE

STATE<=A;RESET<='1';

END IF;

WHEN OTHERS=>STATE<=A;

END CASE;

END IF;

END PROCESS CNT;

END BEHA V;

生成模块图:

五,总体设计电路图1,总体原理图

示管显示出时间。下面是实验下载成功以后部分图片:

此状态是主,支干道都有车时。主干道优先通车,灯处于主绿支红的状态。

此状态是主.支干道都有车时。主干道30秒的通车过程。灯处于主绿支红的状态。

此状态是主.支干道都有车时。支干道30秒的通车过程。灯处于主红支绿的状态。

此状态是主干道通车30秒以后。主干道向支干道4秒转换的过程,灯处于主黄支红状态。

此状态是主干道没车通过,直干道有车通过时。灯处于主红支黄的状态。

交通信号灯设计

简易交通信号灯控制器 1.主要技术指标与要求 1.定周控制:主干道绿灯45s,支干道绿灯25s; 2.每次由绿灯变为红灯时,应有5s黄灯作为过渡; 3.分别用绿、黄、红色发光二极管表示信号灯; 2.摘要 道路交通和我们息息相关,是我们日常生活的一部分。为了确保道路交通顺畅与安全,交通信号控制系统是用来自动控制十字路口红黄绿三色的交通灯。 简易交通信号灯控制器利用555秒脉冲发生器提供秒脉冲信号,通过CP输入。主控制器由两块74LS290组成一个80进制计数器,分别在45S,50S,75S,80S,通过驱动控制装置来控制主干道与支干道中绿、黄、红发光二极管的亮灭及其持续时间,从而实现对主干道与支干道交通信号的控制。 3.总体设计方案论证及选择 方案一:十字路口每个方向的绿、黄、红灯所亮的时间比例分别为9:1:5,所以,可以选择计数器为5s的脉冲。因为每5s一个时间单位,所以计数器的工作循环为16,应选择一个十六进制的计数器来控制,故选择74LS161四位异步二进制计数器,再加上相应控制器来配合,达到计数器分别在9、10、15、16翻转的目的。

方案二:本方案主要由主控制电路和秒脉冲发生器组成,其中主控制电路包括:主控制器、清零装置、驱动装置、信号灯装置及一些逻辑门。主控制器中采用两块74LS290二-五-十进制来实现八十进制计数器。秒脉冲发生器由555秒脉冲发生器负责提供脉冲信号。接通电源瞬间,清零装置将主控制器清零,紧接着,主干道绿灯和支干道红灯打开,其余主、支道灯关闭。秒脉冲传送到控制器,主控制电路在45s 到,50s到,75s到,80s到分别产生翻转信号,从而改变主、支道绿、黄、红灯的开闭持续时间,继而实现交通信号灯控制。 方案三:十字路口车辆通行情况只可能有4种情况,可以依次用S0=00,S1=01,S2=10,S3=11,L来记忆交通灯的工作情况。分别对这四种情况进行编码,得到转换图,显然这是一个四进制计数器,可以采用J-K触发器74LS107来构成,控制电路。 经过比较,我选择方案二,因为方案一中,主控制器用的是十六进制74LS161计数器,而交通灯信号控制周期T=80s,相比而言方案二更容易得到。而方案三中器件我不太熟悉,所以最终我选方案二。 4.设计方案的原理框图、总体电路图及说明 原理框图:

设计题目 交通灯控制器设计

广西科技大学 单片机技术课程设计报告 课程:单片机技术 题目: 学院: 专业: 姓名: 学号: 指导老师: 完成时间: 成绩评定 设计报告得分S1:(百分制) 平时考勤得分S2:(百分制) 问题回答得分S3:(百分制) 总成绩:(S1×0.6+S2×0.2+ S3×0.2) 指导教师签字年月日

摘要 随着各种交通工具的发展和交通指挥的需要,第一盏名副其实的三色灯(红、黄、绿三种标志)于1918年诞生。它是三色圆形四面投影器,被安装在纽约市五号街的一座高塔上,由于它的诞生,使城市交通大为改善。黄色信号灯的发明者是我国的胡汝鼎,他怀着“科学救国”的抱负到美国深造,在大发明家爱迪生为董事长的美国通用电器公司任职员。一天,他站在繁华的十字路口等待绿灯信号,当他看到红灯而正要过去时,一辆转弯的汽车呼地一声擦身而过,吓了他一身冷汗。回到宿舍,他反复琢磨,终于想到在红、绿灯中间再加上一个黄色信号灯,提醒人们注意危险。他的建议立即得到有关方面的肯定。于是红、黄、绿三色信号灯即以一个完整的指挥信号家族,遍及全世界陆、海、空交通领域了。 交通灯控制器设计主要功能是用单片机控制LED灯模拟指示。模拟东西南北方向的十字路口交通灯信号控制情况。以89C52单片机为核心芯片,采用中断方式实现控制。本模拟系统由单片机硬/软件系统,两位8段数码管和LED灯显示系统。和复位电路控制电路等组成,较好的模拟了交通路面的控制。 关键词:交通灯单片机数码管

目录 1.概述 (1) 2系统总体方案及硬件设计 (2) 2.1设计内容 (2) 2.2 设计要求 (2) 2.3 总体设计思想 (2) 2.4 设计参考 (2) 2.5 知识点准备 (2) 3各模块设计 (3) 3.1设计项目简介 (3) 3.2总体设计 (3) 3.3硬件设计 (3) 3.4软件设计 (9) 4软件仿真 (12) 5课程设计体会 (13) 参考文献 (14) 附录一程序清单 (15) 附录二系统原理图 (21)

交通信号控制器的VHDL的设计说明

E D A 课程设计报告交通信号控制器的VHDL设计 班级:2009级通信工程一班 姓名:陈洁 学号:2009550606 指导老师:刘奇能

交通信号控制器的VHDL的设计 一、设计任务 模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。能达到的要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 主干道 图1 路口交通管理示意图

表1 交通信号灯的4种状态 二、设计原理 1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2、设计说明 (1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号: Clk: 由外接信号发生器提供256的时钟信号; 系统输出信号:full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。模块说明: 系统输入:full: 接收由clk电路的提供的1hz的时钟脉冲信号; 系统输出信号:tai:产生显示电路状态转换信号 gw:倒计数值秒数个位变化控制信号 sw:倒计数值秒数十位变化控制信号 sgw:次倒计数值秒数个位变化控制信号; ssw:次倒计数值秒数十位变化控制信号; (3)第三模块:红绿灯状态转换电路

交通信号灯的设计方法

交通信号灯的设计方法 设计任务与要求 设计一个十字路口的交通信号灯操纵电路,要求甲车道和乙车道两条交叉道路上的车交替运行。 要求黄灯先亮5秒,才能变换行车道。 黄灯亮时,要求每秒钟闪亮一次。 实验设备 数字双踪示波器 74LS00、74LS20、74LS74、74LS153、74LS163、74LS138、NE555、发光二极管、电阻、电容 实验原理与实验电路 实验原理简介 实验电路要紧由操纵器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和操纵器的标准时钟信号源,译码器输出组信号灯的操纵信号,经驱动电路后驱动信号灯工作,操纵器是系统的要紧部分,由它操纵定时器和译码器的工作。 下面简要介绍个操纵信号的意义: TL:表示甲车道或乙车道绿灯亮的时刻间隔是25秒,即两车道正常通行的时刻间隔。定时器时刻到,TL=1,否则,TL=0。 TY:表示黄灯亮的时刻间隔是5秒,定时时刻到,TY=1.,否则,TY =0。 ST:表示定时器到了规定的时刻后,由操纵器发出状态转换信号。由他操纵定时器开始下个工作状态的定时。 AG=1:表示甲车道绿灯亮;BG=1:乙车道绿灯亮; AY=1:表示甲车道黄灯亮;BG=1:乙车道黄灯亮; AR=1:表示甲车道红灯亮;BR=1:乙车道红灯亮; 假设交通信号灯由四种状态组成:

第一种状态:甲车道绿灯亮,乙车道红灯亮。表示甲车道的车辆承诺通行,乙车道的车辆禁止通行。绿灯亮足够时刻间隔TL时,操纵器发出状态信号ST,转到下一个工作状态。 二种状态:甲车道黄灯亮,乙车道红灯亮。表示甲车道上为过停车线的车辆停止通行,已过停车线的车辆连续通行,乙车道禁止通行。黄灯亮足够的时刻间隔TY时,操纵器发出状态转换信号ST,转到下一个工作状态。 三种状态:甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道的车辆能够通过。绿灯亮足够规定时刻间隔时,操纵器发出状态转换信号ST,转到下一工作状态。 四种状态:甲车道红灯来亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道未过停车线的车辆停止通行,已过停车线的车辆连续通行。黄灯亮足规定的时刻间隔TY时,操纵器发出状态转换信号ST,系统又转换到第一种工作状态。 通信号灯以上四种工作状态是由操纵器进行操纵的。设操纵器的四种状态编码为00、01、11、10,分不用S0、S1、S2、S3表示,则操纵器的工作状态即功能表如下所示:

交通信号灯控制系统

交通信号控制系统 1. 设计任务 设计一个十字路口交通控制系统,要求: (1)东西(用A表示)、南北(用B表示)方向均有绿灯、黄灯、红灯指示,其持续时间分别是30秒、3秒和30秒,交通灯运行的切换示意图如图1-1 所示。 (2)系统设有时钟,以倒计时方式显示每一路允许通行的时间。 (3)当东西或南北两路中任意一路出现特殊情况时,系统可由交警手动控制立即进入特殊运行状态,即红灯全亮,时钟停止记时,东西、南北两路所有车辆停止通行;当特殊运行状态结束后,系统恢复工作,继续正常运行。 2.总体框图 本系统主要由分频计、计数器和控制器等电路组成,总体框图如1-2所示。分频计将晶振送来的信号变为1Hz时钟信号;当紧急制动信号无效时,选择开关将1Hz脉冲信号送至计数器进行倒计时计数,并使控制器同步控制两路红、黄、绿指示灯时序切换;当紧急制动信号有效时,选择开关将紧急制动信号送至计数器使其停止计数,同时控制器控制两路红灯全亮,所有车辆停止运行。 2-1 交通灯总体结构框图 3 模块设计 (1)分频器 设晶振产生的信号为2MHz,要求输出1Hz时钟信号,则分频系数为2M,需要21位计数器。用VHDL设计的2M分频器文本文件如下:

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpin2m IS PORT(clk:IN STD_LOGIC; reset:IN STD_LOGIC; --时钟输入 clk_out:out STD_LOGIC); END ENTITY fenpin2m; ARCHITECTURE one OF fenpin2m IS signal count:integer range 0 to 1999999; BEGIN PROCESS(clk) BEGIN if reset='1' then count<=0; clk_out<='0'; else if clk'EVENT and clk='1'THEN IF count<999999 THEN count<=count+1; clk_out<='0'; ELSif count<1999999 then count<=count+1; clk_out<='1'; else count<=0; END IF; END IF; END IF; END PROCESS ; END one; (2) 模30倒计时计数器 采用原理图输入法,用两片74168实现。74168为十进制可逆计数器,当U/DN=0时实现9~0减法计数,记到0时TCN=0;当U/DN=1时实现0~9加法计数,计到9时TCN=0;ENTN+ENPN=0时执行计数,否则计数器保持。该电路执行减法计数,当两片计数器计到0时同步置数,因此该计数器的计数范围是29~0,当系统检测到紧急制动信号有效时,CP=0计数器停止计数。

交通灯控制器的设计

交通灯控制器的设计 LG GROUP system office room 【LGA16H-LGYY-LGUA8Q8-LGA162】

电子设计自动化实训说明书 题目:交通灯控制器的设计 系部:信息与控制工程学院 专业:电子信息工程 班级: 06级1班 学生姓名: 朱清美学号: 015 指导教师:张建军 2009年12月21日 目录 1摘要............................................................... 2设计任务与要求..................................................... 3设计原理及框图..................................................... 4单元电路设计及仿真调试............................................. 状态控制器的设计................................................ 状态译码器设计及仿真调试........................................ 定时系统设计及仿真调试.......................................... 秒脉冲发生器设计................................................ 5个人总结 (14) 6参考文献........................................................... 1摘要: 分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。关键词:交通控制交通灯时间发生器定时器1 引言随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道

基于FPGA下的交通灯控制器设计

引言 随着城乡的经济发展,车辆的数量在迅速的增加,交通阻塞的问题已经严重影响了人们的出行。 现在的社会是一个数字化程度相当高的社会,很多的系统设计师都愿意把自己的设计设计成集成电路芯片,芯片可以在实际中方便使用。随着EDA技术的发展,嵌入式通用及标准FPGA器件的呼之欲出,片上系统(SOC)已经近在咫尺。FPGA/CPLD 以其不可替代的地位及伴随而来的极具知识经济特征的IP芯片产业的崛起,正越来越受到业内人士的密切关注。FPGA就是在这样的背景下诞生的,它在数字电路中的地位也越来越高,这样迅速的发展源于它的众多特点。交通等是保障交通道路畅通和安全的重要工具,而控制器是交通灯控制的主要部分,它可以通过很多种方式来实现。在这许许多多的方法之中,使用FPGA和VHDL语言设计的交通灯控制器,比起其他的方法显得更加灵活、易于改动,并且它的设计周期性更加短。 城市中的交通事故频繁发生,威胁着人们的生命健康和工作生活,交通阻塞问题在延迟出行时间的同时,还会造成更多的空气污染和噪声污染。在这种情况下,根据每个道路的实际情况来设置交通灯,使道路更加通畅,这对构建和谐畅通的城市交通有着十分重要的意义。

第一章软件介绍 1.1 QuartusⅡ介绍 本次毕业设计是基于FPGA下的设计,FPGA是现场可编程门阵列,FPGA开发工具种类很多、智能化高、功能非常的强大。可编程QuartusⅡ是一个为逻辑器件编程提供编程环境的软件,它能够支持VHDL、Verilog HDL语言的设计。在该软件环境下,设计者可以实现程序的编写、编译、仿真、图形设计、图形的仿真等许许多多的功能。在做交通灯控制器设计时选择的编程语言是VHDL语言。 在这里简单的介绍一下QuartusⅡ的基本部分。图1-1-1是一幅启动界面的图片。在设计前需要对软件进行初步的了解,在图中已经明显的标出了每一部分的名称。 图 1-1-1 启动界面 开始设计前我们需要新建一个工程,首先要在启动界面上的菜单栏中找到File,单击它选择它下拉菜单中的“New Project Wizard”时会出现图1-1-2所显示的对话框,把项目名称按照需要填好后单击Next,便会进入图 1-1-3 显示的界面。

交通信号灯设计

太阳能交通信号灯系统设计 2011-12-30 21:46:59 来源:21IC 关键字:太阳能交通信号灯系统设计 传统的交通灯有以下几个缺点:反光碗的存在导致了假显示效果的出现,假显示效果会引起严重的交通事故;寿命短、维护费用高;耗能高。针对传统交通灯的缺点,采用LED发光源设计的交通灯,具有可视性强、功耗低、节能、使用寿命长、安全、工作稳定可靠等特点,所以这种交通灯在国内外得到了越来越广泛的使用。 传统交通信号灯一般采用市电直接供电,安装时要挖沟敷设电缆,给交通指挥的安装增加了成本。太阳能供电系统无需架线,资源丰富,太阳能电池转换效率逐渐提高,价格逐渐降低,有利于降低成本,所以得到了越来越广泛的应用。 采用单片机控制,提高了系统的可靠性,方便安装,对保证行车安全有着重要的意义。 1 工作原理 太阳能LED交通信号灯由光伏极板、充放电控制器、蓄电池、LED交通信号灯系统构成。系统框图如图1所示。 图1 系统框图 其中,光伏极板是用来将太阳能转换成电能,为系统供电。 充放电控制器是将太阳能产生的电存储到蓄电池中,同时将蓄电池中的电能供给LED交通信号灯系统,并对蓄电池的过流、过充等起到保护作用。 LED交通信号灯系统是由中央控制器、RS 485通信模块、LED信号灯模块、信号灯模块控制系统等组成。 2 LED交通信号灯模块 LED连接电路有三种连接方式:全串联方式、全并联方式、串并混联方式。三种方式的优缺点比较如下: (1)全串联方式,如图2(a)所示。优点:电路简单,流经所有LED的电流相同。通过使用恒流源,可使LED亮度一致。缺点:如果有一颗损坏,所有的LED将不能工作,需要变压器产生高电压和制作恒流源,实现成本高。 (2)全并联方式,如图2(b)所示。优点:电路简单,一颗LED损坏,不会影响其他LED。缺点:由于LED发光源本身存在差异性,电压有浮动,导致并联的LED显色不均匀。另外,电流太大,增加成本,给电源设计也带来困难,需要性能比较高,输出电流非常大的稳压源。 (3)串并混联方式,如图2(c)所示。蓄电池可以提供12 V直流电压,可以驱动4~6颗LED,将LED分成若干串,每串串联,然后将几串并联,这样每一串的电压相同,每一串内电流相同,电源输出的抖动被每一串内LED平分,这样可以稳定单个LED的电压,同时单个LED的损坏只能影响到同一串联的LED,其他串LED仍然正常工作。本文采用串并混联方式。 图2 LED电路连接方式 3 LED交通信号灯控制器模块 3.1 控制结构 控制部分是LED交通信号灯系统的核心部分,由中央控制器、RS 485串行通信总线、从控制器三部分组成。LED交通信号系统的主从控制器都采用单片机A T89S51,中央控制器起到控制和协调作用,四个路口由从控制器接收中央控制器的命令,然后按照命令确定各自路*通信号灯的状态。主从控制器之间由串口来实现信号的传输。控制器结构框图如图3所示。

交通信号灯控制器课程设计

燕山大学 课程设计说明书题目:交通信号灯控制器 学院(系):电气工程学院 年级专业: 11级检测一班 学号: 学生姓名: 指导教师: 教师职称:

燕山大学课程设计(论文)任务书 院(系):电气工程学院基层教学单位:仪器科学与工程系 说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。 年月日

摘要 日常交通对于人们的生活有极大的影响,因此对交通的控制也有很高的要求。交通信号灯已不仅仅被看成一种用来指挥交通的工具,在很多实际应用中它还需要能够实现更多其它功能。高精度、多功能、小体积、低功耗,是现代交通信号灯发展的趋势。本课题设计正是基于这个方向设计一个符合指标要求的模拟交通信号灯控制器。 单片机特别是51系列的单片机,由于其使用方便、价格低廉等优势,在电子产品中的应用越来越广泛,在市场上占有很大的份额。AT89C51就是51系列中的一个比较成熟的型号,它完全兼容51单片机的指令。 本课题设计是基于单片机技术原理,以单片机芯片AT89S51作为核心控制器,通过硬件电路的制作以及软件程序的编制,设计出的一个模拟交通信号灯控制系统。该控制系统包括了。设计以硬件和软件相结合为指导思想,通过软件编程实现系统大部分功能,电路简单明了,系统稳定性高。主要硬件有:AT89C51单片机、八段数码管、LED和按键等。软件采用C语言编写实现,并依据程序应用Keil、Protues进行了调试,对出现的问题进行分析和反复修改,最终得到正确并符合设计要求的结果。 设计完成的模拟交通信号灯控制器能够准确指挥交通,控制精确、体积小、功耗低,具有很强的实用性。

目录 第一章、课题简介 ..............................错误!未定义书签。 1.1引言 (1) 1.2课设内容 (1) 第二章、AT89C51单片机简介 (2) 2.1单片机引脚介绍 (2) 2.2定时器介绍 (3) 2.3定时器初值的计算 (4) 第三章、硬件总体结构设计 (5) 3.1单片机最小系统 (5) 3.2LED灯显示原理 ............................... 错误!未定义书签。 3.3数码管显示原理 (7) 3.4芯片介绍..................................... 错误!未定义书签。 第四章、软件设计 (10) 4.1单片机程序开发流程 (10) 4.2程序流程图 (11) 4.仿真电路 (12) 4.5电路仿真结果 (14) 总结 (15) 参考文献 (16) 附录源程序 (17)

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

交通信号灯的设计方法

交通信号灯控制电路 一、设计任务与要求 1.设计一个十字路口的交通信号灯控制电路,要求甲车道和乙车道两条交叉道路上的车交替运行。 2.要求黄灯先亮5秒,才能变换行车道。 3.黄灯亮时,要求每秒钟闪亮一次。 二、实验设备 1.数字双踪示波器 2.74LS00、74LS20、74LS74、74LS153、74LS163、74LS138、NE555、发光二极管、电阻、电容 三、实验原理与实验电路 1.实验原理简介 实验电路主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。 下面简要介绍个控制信号的意义: TL:表示甲车道或乙车道绿灯亮的时间间隔是25秒,即两车道正常通行的时间间隔。 定时器时间到,TL=1,否则,TL=0。 TY:表示黄灯亮的时间间隔是5秒,定时时间到,TY=1.,否则,TY=0。 ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由他控制定时器开始下个工作状态的定时。 AG=1:表示甲车道绿灯亮;BG=1:乙车道绿灯亮; AY=1:表示甲车道黄灯亮;BG=1:乙车道黄灯亮; AR=1:表示甲车道红灯亮;BR=1:乙车道红灯亮; 假设交通信号灯由四种状态组成: 第一种状态:甲车道绿灯亮,乙车道红灯亮。表示甲车道的车辆允许通行,乙车道的车辆禁止通行。绿灯亮足够时间间隔TL时,控制器发出状态信号ST,转到下一个工作状态。 二种状态:甲车道黄灯亮,乙车道红灯亮。表示甲车道上为过停车线的车辆停止通行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足够的时间间隔TY时,控制器发出状态转换信号ST,转到下一个工作状态。 三种状态:甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道的车辆可以通过。绿灯亮足够规定时间间隔时,控制器发出状态转换信号ST,转到下一工作状态。 四种状态:甲车道红灯来亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道未过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔TY时,控制器发出状态转换信号ST,系统又转换到第一种工作状态。 通信号灯以上四种工作状态是由控制器进行控制的。设控制器的四种状态编码为00、

基于EDA十字路口交通灯控制器设计

十字路口交通灯控制器设计 一、实验目的 1、进一步加强经典状态机的设计 2、学会设计模可变倒计时计数器 二、实验要求 一条主干道,一条乡间公路。组成十字路口,要求优先保证主干道通行。有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制;交通灯由绿→红有4秒黄灯亮的间隔时间,由红→绿没有间隔时间;系统有MRCY、MRCG、MYCR、MGCR四个状态; 乡间公路右侧各埋有一个传感器,当有车辆通过乡间公路时,发出请求信号S=1,其余时间S=0; 平时系统停留在MGCR(主干道通行)状态,一旦S信号有效,经MYCR(黄灯状态)转入MRCG(乡间公路通行)状态,但要保证MGCR的状态不得短于一分钟;一旦S信号无效,系统脱离MRCG状态。随即经MRCY(黄灯状态)进入MGCR 状态,即使S信号一直有效,MRCG状态也不得长于20秒钟。 三、实验思路 1、设计一个状态寄存器,控制六盏灯的亮与灭 2、设计一个计时器,控制各状态的持续时间,计时器应满足以下要求: 1)当S=1,且计数器已完成60计数时,计数器进入模4计数,随后进入模20计数,再进入模4计数,再回到模60计数 2)当计数器进行摸20计数时,一旦S变为0,计数器立马进入模4计数,再进入模60计数 3)完成模20计数后,不论S为0或1,计数器进入模4计数,再进入模60 计数 4)若计数器未完成模60计数,不论S如何变话,计数器将继续进行模60 计数 3、使用文本设计底层文件,并生成相应元器件,再使用原理图设计顶层文件 四、实验步骤 1、建立工作库文件夹和编辑设计文件 1)建立一个文件夹保存工程文件; 2)打开QuartusII,建立新的VHDL文件,再打开的页面下输入以下程序 控制6盏灯的模块代码: LIBRARY IEEE;

课程设计交通信号灯汇总

课程设计说明书(2012 /2013 学年第 2 学期) 课程名称: 题目:交通信号灯 专业班级:电气一班 学生姓名: 学号: 指导教师: 设计周数:两周 设计成绩: 2013年7 月3 日 1、课程设计目的 (3)

2、课程设计软件部分 (3) 2.1设计内容及要求 (3) 2.1.1课程设计内容 (3) 2.1.2课程设计要求 (4) 2.2系统分析 (4) 2.3系统设计 (4) 3、课程设计硬件部分 (5) 3.1方案设计 (5) 3.2单元电路设计 (5) 3.2.1秒脉冲发生器 (5) 3.2.2计数电路的设计 (6) 3.2.3控制电路的设计 (7) 3.2.4显示电路的设计 (7) 3.2.5数码管显示的设计 (8) 3.2.6设计总原理图 (9) 3.3系统调试 (10) 4、课程设计总结 (10) 5、参考文献 (11) 1、课程设计目的

在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。 2、课程设计软件部分 2.1设计内容及要求 2.1.1课程设计内容 A满足顺序工作流程:南北绿灯亮、东西红灯亮,占20S,南北黄灯亮、东西红灯亮,占4S,南北红灯亮、东西绿灯亮,占20S,南北红灯亮、东西黄灯亮,占4S。 B他们的工作方式,有些必须是并行进行的。南北绿,东西红。 南北黄,东西红。南北红,东西绿。南北红,东西黄。 C十字路口要有数字显示,作为事件提示,一边人们直接的把握事件。 D可以手动调整和自动控制,夜间为黄灯闪耀。 E满足两个方向的工作时序:既东西方向亮红灯事件应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。 F倒计时的方法,数字显示当前信号的剩余时间,提醒行人和司机。 G信号灯的时间分别可调,以适应不同路口,不同路段交通流量的需求 2.1.2课程设计要求 A单电源5V供电 B南北、东西干道轮流通行由L E D显示,计时又数码管控制 C实现功能所用的器件的成本低,数量少为最佳

交通信号灯控制器

太原理工大学现代科技学院数字电子技术基础课程设计 设计名称交通信号灯控制器 专业班级自动化12-1 学号 姓名 指导教师张文爱

交通信号灯控制器 一、设计要求: 通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来实现设计交通控制信号灯。 1.设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 2.用红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。 3.主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 4.主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。 5.在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。 二.设计方案: 1,设计思想及方案论证: 本设计要求设计一个主干道绿灯45秒、支干道绿灯25秒的交通灯控制系统,每次由绿灯变为红灯时应有5秒黄灯亮作为过渡,分别用红、黄、绿三色发光二极管表示信号灯,并用数码管显示倒计时。因此,本设计需

要一个脉冲产生模块、信号灯模块、倒计时模块、数码显示模块和主控模块。脉冲产生电路用以驱动倒计时电路,置数电路将交通灯亮时间预置到计数电路和寄存器中,信号灯模块对信号灯的各种状态进行循环控制,倒计时模块以基准时间秒为单位做倒计时,数码显示模块显示倒计时的时间,主控模块对电路种的各个模块进行级联控制。 交通信号灯控制电路,交通灯采用发光二极管,显示时间则采用自带译码器的数码管显示。系统需要每秒减数,所以可以采用数字电路箱产生秒脉冲(数字电路实验箱中已给出),经由一个脉冲驱动电路后产生信号灯需要的三种脉冲,即45s,25s,5s,传递给控制器,由控制器发出状态。译码器接受状态后译码,输出控制信号灯和数码管显示的状态。 2,设计方案的工作原理: 1.倒计时电路(定时电路) 倒计时器由两位4位十进制可逆同步计数器(双时钟)74LS192、一个非门和一或门构成。其组成如图所示,其中74LS192是上升沿触发,CPU

交通信号灯控制器设计方案

课程设计任务书

设计(论文)说明书用纸N O.1 沈阳大学

沈阳大学

沈阳大学

图1 基本工作原理图 2.2设计电路采用的软件介绍 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年又增 沈阳大学

加了Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 2.3设计电路采用的方案 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。这次任务采用MSC-51系列单片机AT89C51来设计交通灯控制器,从而实现通过P2口设置红、绿灯亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯亮以警示作用。此设计方案实用性强、操作简单、扩展功能强。 2.4 AT89C51及部分端口介绍 如图2为AT89C51 。 图2 AT89C51 沈阳大学

交通灯信号控制器仿真设计

交通灯信号控制器仿真设计 一、设计目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用Multisim软 件仿真。 4、通过查阅手册和文献资料,培养学生独立分析问题、解决问题以及团队协作能力。巩固所学知识,加强综合能力,提高实验技能,启发创新能力的效果 5、培养学生创新能力和创新思维。让学生通过动手动脑解决实际问题,巩固课程中所学的理论知识和实验技能。 二、设计要求 1、设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45s。时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 5、假定+5V电源给定。 三、总体概要设计 设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都为45s,每次绿灯变红时黄灯先亮5秒。该交通灯控制系统的总体设计方案如下图所示所示。90进制加法计数器作为该系统的主控制电路,控制东西方向和南北方向交通的及LED显示,秒信号发生器产生整个定时系统的时间脉冲,通过加法计数器对秒脉冲加计数,当到达固定时刻,控制LED显示的减法计数器进行数制转换,交通灯做出相应的变化。 交通灯控制系统的总体设计方案 电

电路流程图 四、局部细节设计 一、秒脉冲电路部分

二、主控电路(89进制加法计数器)部分 三、东西方向减法计数器及LED显示部分

交通灯控制器的课程设计

交通灯控制器的课程设计

课程设计 课题:交通灯控制器的设 计

一、设计目的: 学习QuartusII的使用方法,熟悉可编程逻辑器 件的使用。通过制作来了解交通灯控制系统,交 通灯控制系统主要是实现城市十字交叉路口红绿 灯的控制。在现代化的大城市中, 十字交叉路口 越来越多,在每个交叉路口都需要使用红绿灯进 行交通指挥和管理,红、黄、绿灯的转换要有一个 准确的时间间隔和转换顺序,这就需要有一个安 全、自动的系统对红、黄、绿灯的转换进行管理, 本系统就是基于此目的而开发的。 二、设计任务: 1.满足如下时序要求: 南北方向红灯亮时,东西方向绿灯亮,反之亦 然。 2.每一方向的红(绿)黄灯共维持30秒。 3.当某一方向绿灯亮时,置显示器为30秒,然 后以每秒减1计数方式工作,直至减到数为3 秒时,红绿灯熄灭,黄灯开始间隙闪耀3秒, 减到为0,红绿灯交换,一次工作循环结束, 进入下一步另一方向的工作循环。 4.红绿黄灯均采用发光二极管。

5.设计由晶振电路产生1Hz标准秒信号的单元电 路。 6.要求对整体电路进行仿真,观察并记录下仿真 波形。 三、设计原理: ●交通灯有四个状态: G1 Y1 R1 G2 Y2 R2 S1. 亮灭灭灭灭亮 S2. 灭闪灭灭灭亮 S3. 灭灭亮亮灭灭 S4. 灭灭亮灭闪灭然后重复状态S1. ●分频器 分频器实现的是将高频时钟信号转换成底频的时钟 信号,用于触发控制器、计数器和扫描显示电路。 该分频器将时钟信号分频成1HZ和4HZ的时钟信 号。 ●控制器 控制器的作用是根据计数器的计数值控制发光二极 管的亮、灭,以及输出倒计时数值给七段数码管的 分位译码电路。此外,当检测到为夜间模式时,手 动控制点亮黄灯的二极管。

交通信号灯设计报告

单片机应用技术报告 题目:交通灯应用系统 班级:10电子信息 小组:第九组

目录 1.摘要 2.51单片机的功能与简介 3.交通灯方案 4.主程序流程图 5.原理图及说明 6.调试过程及流程图 7.总结 摘要:分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的

城市交通灯控制系统的硬件电路设计方案。 1、51单片机的功能与简介 51单片机是对目前所有兼容Intel 8031指令系统的单片机的统称。该系列单片机的始祖是Intel的8031单片机,后来随着Flash ROM 技术的发展,8031单片机取得了长足的进展,成为目前应用最广泛的8位单片机之一,其代表型号是ATMEL 公司的AT89系列,它广泛应用于工业测控系统之中。目前很多公司都有51系列的兼容机型推出,在目前乃至今后很长的一段时间内将占有大量市场。51单片机是基础入门的一个单片机,还是应用最广泛的一种,对初学者来说是比较适合的学习单片机的。 2、交通信号灯方案: (1)、南北直行绿灯亮,东西直行红灯亮,延时。 (2)、南北直行绿灯闪烁几次转黄灯,南北左转(固定绿灯)亮,南北直行红灯亮,东西直行仍然红灯亮,延时。 (3)、南北左转灯闪几次转黄灯,东西直行绿灯亮,南北直行仍然红灯,延时。

(4)、东西直行绿灯闪烁几次转黄灯,东西左转灯亮,东西直行红灯亮,南北直行仍然红灯,延时。 (5)、循环至1,继续。(这里左转时绿灯亮,不转时灭) (6)、倒计时部分。(南北、东西方向时间独立) 3、引脚分配及元件清单 5、主程序流程图:

基于单片机的交通灯信号控制器设计(含源程序与电路图)

图3-5 交通灯硬件电路原理图 选用MCS51系列AT89S51单片机作为微控制器,选择两个四联的共阴极数码管组成8位显示模块,由于AT89S51单片机驱动能力有限,采用两片74HC244实现总线的驱动,一个74HC244完成共阴极数码管位控线的控制和驱动,另一个74HC244完成数码管的7段码输出,在7段码输出口上各串联一个100欧姆的电阻对7段数码管限流。用P3 口的P3.0-P3.5完成发光二极管的控制,实现交通灯信号的显示,每个发光二极管串联500欧姆电阻起限流作用。硬件电路原理图如图3-5所示。 (3)程序设计思路,单片机资源分配以及程序流程 ①单片机资源分配 单片机P3口的P3.0-P3.1引脚用作输出,控制发光二极管的显示。在计时模块中,需要定义两个数组变量(init_sn[3],init_ew[3])来存储东西、南北两个方向在不同状态中倒计时的初始值,题目中每个方向的交通灯共有3种显示状态,因此数组元素个数为3。还需要定义两个变量( cnt_ sn, cnt_ ew)暂存东西、南北两个方向的倒计时剩余时间。 在状态的切换中,为了明确当前处于哪种状态,东西、南北方向各设置一个状态变量(state_val_sn, state_val_ew),当倒计时的剩余时间到零时,状态变量增1,表示启动下一个状态,当该变量增到3时变为0,回到序号为1的状态。 ②程序设计思路 在设计中,由于没有键盘功能,因此只涉及定时计数和动态扫描功能。主程序将变量初始化之 后,设置单片机定时器和中断特殊功能寄存器的初始值,将定时器T1的工作方式设置为8位自动 装载模式,定时器每隔250us产生一次溢出。 在初始化变量与寄存器后,主程序进入一个循环结构,在循环中只做动态扫描的工作,根据东西、南北两向的剩余时时间进行动态扫描显示。 计时以及状态的切换通过定时器的中断服务程序来实现,在中断服务程序中,每计时到一秒时,则各方向当前状态的剩余时间减1,一直减到0时触发下一个状态的开始,改

相关主题
文本预览
相关文档 最新文档