当前位置:文档之家› 模拟电子技术课程设计(Multisim仿真)

模拟电子技术课程设计(Multisim仿真)

模拟电子技术课程设计(Multisim仿真)
模拟电子技术课程设计(Multisim仿真)

《电子技术Ⅱ课程设计》

报告

姓名 xxx

学号

院系自动控制与机械工程学院

班级

指导教师

2014 年 6 月18日

目录

1、目的和意义 (3)

2、任务和要求 (3)

3、基础性电路的Multisim仿真 (4)

3.1 半导体器件的Multisim仿真 (4)

3.11仿真 (4)

3.12结果分析 (4)

3.2单管共射放大电路的Multisim仿真 (5)

3.21理论计算 (7)

3.21仿真 (7)

3.23结果分析 (8)

3.3差分放大电路的Multisim仿真 (8)

3.31理论计算 (9)

3.32仿真 (9)

3.33结果分析 (9)

3.4两级反馈放大电路的Multisim仿真 (9)

3.41理论分析 (11)

3.42仿真 (12)

3.5集成运算放大电路的Multisim仿真(积分电路) (12)

3.51理论分析 (13)

3.52仿真 (14)

3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14)

3.61理论分析 (14)

3.62仿真 (14)

4.无源滤波器的设计 (14)

5.总结 (18)

6.参考文献 (19)

一、目的和意义

该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。

二、任务和要求

本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求:

1、巩固和加深对《电子技术2》课程知识的理解;

2、会根据课题需要选学参考书籍、查阅手册和文献资料;

3、掌握仿真软件Multisim的使用方法;

4、掌握简单模拟电路的设计、仿真方法;

5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

三、模拟电路的设计和仿真

3.1、半导体器件的Multisim仿真

在Multisim中构建二极管电路,如图1-1所示,图中VD是虚拟二极管,输入端加最大值U im=14V,平率为1KHZ的正弦波电压,接入一台虚拟示波器XSCL,这是一台双踪示波器,有A、B两个通道,A端接二极管电路的输入端,B端接电路的输出端,如图1-1。

图 1-1半导体器件的仿真电路

仿真后得到波形如图1-2所示,有图可见,输入信号是一个双向的正弦波电压,而经过二极管以后,得到单向脉冲电压,可见二极管具有单向导电性。

图 1-2输出波形

分析直流工作点分析,如图1-3所示

图 1-3分析结果

3.2单管共射放大电路

(1) 理论计算

静态工作点分析 设三极管的V

U BEQ

7.0=,可得

A m A m A R

U V I

b

BEQ

CC

BQ

μ4004.02807.012==???

??-=-=

()500.042CQ

BQ

mA mA

I

I β≈=?=

()12236CQ C CEQ

CC V V

U

V I R =-=-?=

动态动作点分析

首先需要估算三极管的be r ,根据以上对静态工作点的分析计算可得

2CQ

mA

I

=。可以认为2EQ

CQ mA

I I ==,则

()

()

'26261300519632be bb EQ

mV r r I

β?

?=++=+?Ω=Ω ??

?

'

33

// 1.533

L C L R R R ?==

KΩ=KΩ+

所以

'

50 1.5

77.9

0.963

L

u

be

A

R

r

β

??

=-=-=-

//963

i be b be

R r R r

=≈=Ω

3

c

R R

==KΩ

(2)仿真

在Multisim中构建单管共射放大电路如图2-1所示

图 2-1单管共射放大电路

图2-1中的单管共射放大电路仿真后,可以从虚拟示波器上得到U i和U o波形如图2-2所示。由图可见U o波形没有明显的非线性失真,而U o与U i的波形相位相反。

图 2-2输出波形

(3)分析

直流工作点分析如图所示2-3

图 2-3结果分析

可在仿真电路中接入三个虚拟数字万用表,分别设置直流电流表或直流电压表,以便测得BQ I 、CQ I 和CE U ,电路仿真后,可测

40.079, 2.002, 5.995BQ

CQ CEQ m V

U I

I μ=A =A =

3.3差分放大电路的Multisim仿真(长尾式)

在Multisim中构建一个接有凋零电位器的长尾式差分放大电路如图3-1所示,其中两个三极管的参数为β1=β 2=50,r bb’1=r bb’2=300Ω,调零电位器R w的滑动端调在中点。

3-1 长尾式差分放大电路

加上正弦输入电压,利用虚拟示波器可看出u c1与u I反相,而u c2与u I同相,如图3-2所示。

图 3-2输出波形

利用Multisim的直流工作点分析功能测量电路的静态工作点、差摸电压放大倍数、输入电阻和输出电阻。

直流工作点分析功能结果如下:

图 3-3结果分析 可知12 4.36453CQ CQ V U U ==(对地)

1

2382.77491BQ BQ mV U

U ==-(对地)

则1

12

1

12 4.36453

0.25430

CC

CQ CQ CQ c mA mA V U I I R

--==

=

= 2)加上正弦输入电压,利用虚拟示波器可以看出1c u 与2c u 反相,而2c u 与I u 相同。

3)当i u =10mV 时,由虚拟仪表测得0u =127.517mV ,i I =169.617nA ,

则 0127.517

12.751710

d i

U A U

=-=-

=- 3

1058.956169.617

10i R =

?KΩ=KΩ 将负载电阻L R 开路,测得'

0U =510.044mV

则'0

00510.044112059.996127.517L U R R U ???? ?=-=-?KΩ=KΩ ? ?????

(3)结果对比

所得的输入电阻、输出电阻相差不大,几乎相等,可知,长尾式差分放大电路很好的抑制了零点漂移。

3.4、两级反馈放大电路的Multisim 仿真

在Multisim 中构建两级电压串联负反馈放大电路,如图4.1所示

图4-1 两级反馈放大电路

(1) 将K 断开,电路中占不引入中间反馈。

1)利用

multisim 的直流工作点分析功能,测量无级间反馈时两级放大电路

的静态工作点,分析结果如下:

图4-2 两级反馈放大电路

可见,1 2.48656BQ V U =,1 1.84467EQ V U =,19.66046CQ V U =,2 3.72360BQ V U =

2

3.06760EQ V U

= 28.89295CQ V U =。

断开时的波形如图4-3所示

图4-3两级反馈放大电路

2)加上正弦输入电压,利用虚拟示波器可观察到第一级输出电压波形与输入电压反相,而第二级输出电压波形与输入电压相同。两个放大级的输出波形均无明显的非线性失真。当 4.999i mV U =时,利用虚拟仪表可测得0644.624mV U =。可见,无级间反馈时,两级放大电路总的电压放大倍数为

0644.624

128.954.999

u i

U A U

?

?

?

=== 3)有虚拟仪表测得,当i U =4.999mV 时, 3.149i I μ=A ,则无间级反馈时放大电路的输入电阻为

4.999

1.5873.149

i i

i

U R I

==KΩ=KΩ 4)将负载电阻L R 开路,测得'

0U =1.289,则放大电路无间级反馈时的输出电阻为

'0001289112 1.9992644.624L U R R U ???? ?=-=-?KΩ=KΩ ? ?????

(2)将图4.1开关合上,引入串联负反馈。

输出波形如图4.4所示

图4-4两级反馈放大电路

1)加上正弦输入电压,由虚拟示波器看到,同样的输入电压之下,输出电压的

幅度明显下降,但波形更好。有虚拟仪表测得,当'i U =4.999mV 时,'

0U =50.066mV ,则引入电压串联负反馈后,电压放大倍数为

50.066

10.0154.999

uf

i

U A

U

?

?

?

=== 说明引入负反馈后电压放大倍数减小了。

2)有虚拟仪表测得,当'

i U =4.999mV 时, 3.014i I μ=A ,则

4.999

1.6593.014

i if

i

U R

I

==

KΩ=KΩ 可见引入电压串联负反馈后输入电阻提高了。但与无间级反馈时的i R 相比,提高很少,这是由于图4.1所示电路中总的输入电阻为

'

1112////if if b b R R R R = 可以看出总的输入电阻if R 提高不多。

3)将负载电阻L R 开路,测得'

051.793mV U =,则

'0

051.7931120.06898968.98950.066of

L U R

R U ???? ?=-=-?KΩ=KΩ=Ω ? ?????

可见,引入电压串联负反馈后,输出电阻降低了。

3.5集成运算放大电路Multisim 仿真(积分电路)

在Multisim 中构建积分电路如图5-1所示,在积分电路的输入端加上有效值为0.5V ,频率为50HZ 的正弦电压。

图5-1 积分电路

由虚拟示波器可看出输入输出波形如图5-2所示。

图5-1 输出波形

积分电路直流工作点分析结果如图5-2所示

图5-1 分析

3.6 波形发生电路的Multisim 仿真(三角波发生器) 三角波和方波发生器Multisim 仿真电路图如图6-1所示

图6-1波形发生器

(1)由虚拟示波器可观察到电路的输出波形为三角波,而前一级滞回比较器的输出波形为矩形波,如图6-2所示

图6-2波形发生器

(2)从虚拟示波器上可测得,三角波的幅度为10on V U =,振荡周期T =5ms 。

四、无源滤波器的设计

(1)无源低通滤波器

低通滤波器指低频信号能通过而高频信号不能通过的滤波器,如图7-1所示

的RC低通电路是最简单的低通滤波器,一般称为无源低通滤波器。

图7-1 RC低通滤波电路

截止频率:?0=1/2πRC=1/2×100×10-6×3.14=0.68×10-5

(2)高通滤波器

高频滤波器是指高频信号能通过而低频信号不能通过的滤波器。如图7-2所示为高通滤波器的电路图。

图7-2 RC高通滤波电路

截止频率:?0=1/2πRC=1/2×100×10-6×3.14=0.68×10-5

(3)带通滤波器

带通滤波器是指频率在某一频率范围内的信号能通过,而在此范围之外的信号不能通过的滤波器,如图7-3所示。

图7-3 RC带通滤波电路

由图7-4得

图7-4 扫描仪

由上图得中心频率?0=731.566Hz;

通带宽度B为 :B=ωch-ωci=6675.06Hz

品质因数Q=ω0/B=2.73

(4)带阻滤波器

带阻滤波器作用与带通滤波器相反,即在规定的频带内,信号被阻断,而在此频带完信号通的过,电路图如图7-5所示

图7-5 带阻滤波器电路图对上图进行仿真,从扫描仪得到图7-6

图7-6 扫描仪

有图7-6得中心频率:?0=6.128Hz

阻带宽度B=ωch-ωci=1.46kHz

品质因数Q= ?0 /B=0.0037

五总结

通过两个星期的努力,终于完成了课程设计。这是我迄今为止收获最多的一次课程设计。记得刚开始学习这一部分知识的时候,觉得挺简单。没想到真要实践它的时候,发现它并不是想象中的那么简单。特别是刚接触Multisim软件的时候,非常的陌生,当时在老师的认真讲解下我还是将它搞定了,还有就是在用截图的时候,本来以为随时都在用的东西本因该是很简单的,但是当我用到公式工具的时候就特别陌生了,并且还很慢,于是我请教了好几个同学,慢慢的我熟悉了。感谢王老师,如果没有她,我的课程设计也不可能圆满完成。这次课设让我懂得了很多,也学到了很多课本上没有的知识。

就像动手查阅资料,与别人交流讨论问题的好处。自己的知识总是很局限,查资料和探讨问题会扩大自己的知识面。

在设计期间遇到了很多棘手的问题。比如设计的电路图,在理论上都讲得通,可是在虚拟实验室里模拟的时候,却实现不了。一方面是对电路参数的不熟悉,另一方面是不能很好的使用模拟实验室软件。通过请教老师,在老师的帮助下,多次改变电阻值,、电压值和电容值以及调节示波器的参数等一些小细节,最终产生了波形。

我很高兴能够有这次实际操作的机会,有些东西平时觉得很简单但是实际操作起来就不是那样了,这次课程设计让我又一次的验证了实践是检验真理的唯一标准,只有自己亲自用心,学到的才是自己的东西。

六、参考文献

[1]杨素行.《模拟电子技术基础简明教程》[M].北京:高等教育出版社,2006年

[2] 华中理工大学电子学教研室编,康华光主编.陈大钦副主编(电子技术基础)(模拟部分)第四版.北京.高等教育出版社.1999

[3]吴运昌编著:[模拟集成电路原理与应用],广州.华南理工大学出版社,1995

[4]王志华编著:[电子电路的计算机辅助分析与设计方法]北京.清华大学出版社1996

[5] 王汝君.钱秀珍编:[模拟集成电子电路(上)(下)].南京,东南大学出版社1993

[6] 华中理工大学电子学教研室,陈大钦主编.杨华副主编,(模拟电子技术基础),北京,高等教育出版社 ,2000

[7]

A.J.Peyton V. Walsh: Analogue eletronics with Op Amps:a source book of practica

l, Campridge university press,New york, 1993

[8]

Jacob Millman and Arvin Grabel .Microelectronics.2nd ed.New York:Mcgraw-Hill book Company,1987

[9] Allan R. Hambley. Electronics. 2nd ed. Prentice Hall Inc. 2000

[10]

Sergio Franco. Design With Operational Amplifiers and Analog Integrated Circuit s. McGraw-Hill

Company. 2002

模拟电路_Multisim软件仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件, 本章节讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

实验1:电路仿真工具multisim的基本应用

实验一电路仿真工具Multisim的基本应用 一.实验目的 1.学会电路仿真工具Multisim的基本操作。 2.掌握电路图编辑法,用Multisim对电路进行仿真。 二、实验仪器 PC机、Multisim软件 三、实验原理 MultiSim 7 软件是加拿大Electronics Workbench 公司推出的用于电子电路仿真的虚拟电子工作台软件。它可以对模拟电路、数字电路或混合电路进行仿真。该软件的特点是采用直观的图形界面,在计算机屏幕上模仿真实实验室的工作台,用屏幕抓取的方式选用元器件,创建电路,连接测量仪器。软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。 1. Multisim 7主窗口 2. 常用Multisim7 设计工具栏 元件编辑器按钮--用以增加元件仿真按钮--用以开始、暂停或结束电路仿真。 分析图表按钮--用于显示分析后的图表结果分析按钮--用以选择要进行的分析。 3.元件工具栏(主窗口左边两列) 其中右边一列绿色的为常用元器件(且为理想模型)。左边一列包含了所有元器件(包括理想模型和类实际元器件模型)。在电路分析实验中常用到的器件组包括以下三个组(主界面左边第二列):

电源组信号源基本器件组 (1)电源(点击电源组) 交流电源直流电源接地 (2)基本信号源 交流电流源交流电压源 (3)基本元器件(点击基本器件组) 电感电位器电阻可变电容电容 4.常用虚拟仪器(主窗口右侧一列) ⑴数字万用表 数字万用表的量程可以自动调整。双击虚拟仪器可进行参数设定。下图是其图标和面板: 其电压、电流档的内阻,电阻档的电流和分贝档的标准电压值都可以任意设置。从打开的面板上选Setting按钮可以设置其参数。 (2)信号发生器 信号发生器可以产生正弦、三角波和方波信号,其图标和面板如下图所示。可调节方波和三角波的占空比。双击虚拟仪器可进行参数设定。 (3)示波器 在Multisim 7中提供了两种示波器:通用双踪示波器和4通道示波器。双击虚拟仪器可进行参数设定。这里仅介绍通用双踪示波器。其图标和面板如下图所示。

实验八multisim电路仿真

电子线路设计软件课程设计报告 实验内容:实验八multisim电路仿真 一、验目的 1、进一步熟悉multisim的操作和使用方法 2、掌握multisim做电路仿真的方法 3、能对multisim仿真出的结果做分析 二、仿真分析方法介绍 Multisim10为仿真电路提供了两种分析方法,即利用虚拟仪表观测电路的某项参数和利用Multisim10 提供的十几种分析工具,进行分析。常用的分析工具有:直流工作点分析、交流分析、瞬态分析、傅立叶分析、失真分析、噪声分析和直流扫描分析。利用这些分析工具,可以了解电路的基本状况、测量和分析电路的各种响应,且比用实际仪器测量的分析精度高、测量范围宽。下面将详细介绍常用基本分析方法的作用、分析过程的建立、分析对话框的使用以及测试结果的分析等内容 1、直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 执行菜单命令Simulate/Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图所示。直流工作点分析对话框包括3页。

Output 页用于选定需要分析的节点。 左边Variables in circuit 栏内列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏内中选中需要分析的变量(可以通过鼠标拖拉进行全选),再点击Plot during simulation 按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。Analysis Options页 点击Analysis Options按钮进入Analysis Options页,其中排列了与该分析有关的其它分析选项设置,通常应该采用默认的 Summary页

Multisim电路仿真应用

Multisim电路仿真及应用 仿真实训一:彩灯循环控制器的设计与仿真分析变换的彩灯已经成为人们日常生活不可缺少的点缀。那么这些变化的灯光是如何控制的呢?这就是我们下面要讨论的课题—彩灯循环控制电路。 电路设计分析彩灯循环控制技术指标: 1.彩灯能够自动循环点亮。 2.彩灯循环显示且频率快慢可调。 3.该控制电路具有8路以上输出。 仿真实训二:交通信号灯控制系统的设计与仿真分析十字路口的交通信号灯是我们每天出行时都会遇到的,信号灯指挥着行人和各种车辆安全有序的通行。实现红、绿灯的自动控制是城市交通管理现代化的重要课题,合适的信号灯指挥系统可以提高城市交通的效率。下面我们以该课题为例进行设计与仿真分

析。 电路设计分析交通信号灯控制系统的技术指标: 1.主、支干道交替通行,主干道每次放行30s,支干道每次放行20s。 2.绿灯亮表示可以通行,红灯亮表示禁止通行。 3.每次绿灯变红灯时,黄灯先亮5s(此时另一干道上的红灯不变)。 4.十字路口要有数字显示,作为等候时间提示。要求主、支干道通行时间及黄灯亮的时间均以秒为单位作减计数。 5.在黄灯亮时,原红灯按1HZ的频率闪烁。 6.要求主、支干道通行时间及黄灯亮的时间均可在0-99s任意设定。 仿真实训三:篮球比赛24秒倒计时器的设计与仿真分析电路设计分析: 计时器在许多领域均有普遍的应用,篮球比赛中除了有总时间倒计时外,为了加快比赛节奏,新的规则还要求进攻方在24秒有一次投篮动作,否则视为违规。 本设计题目“篮球比赛24秒倒计时器”从数字电路角度讨论,实际上就是一个二十四进制递减的计数器。 电路设计技术指标: 1.能完成24秒倒计时功能。 2.完成计数器的复位、启动计数、暂停/继续计数、声光报警等功能。

数字时钟的Multisim设计与仿真

电子电路 设计和仿真 Multisim 学院: 专业和班级: 姓名:学号: 数字时钟的Multisim 设计和仿真 一、设计和仿真要求 学习综合数字电子电路的设计、实现和调试 1.设计一个24或12小时制的数字时钟。 2.要求:计时、显示精确到秒;有校时功能。采用中小规模集成电路设计。 3.发挥:增加闹钟功能。 二、总体设计和电路框图 1.设计思路 1).由秒时钟信号发生器、计时电路和校时电路构成电路。 2).秒时钟信号发生器可由555定时器构成。 3).计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 4).校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。2.电路框图

二、子模块具体设计 1.由555定时器构成的1Hz 秒时钟信号发生器。 由下面的电路图产生1Hz 的脉冲信号作为总电路的初输入时钟脉冲 图2.时钟信号发生电路 2. 分、秒计时电路及显示部分 -VC K ? OTT - ? THR ? T£L1 - O0&I H L : ? r GND ,,, 48kQ R2 48kQ —10uF 士伯 DtiF ....... ■ ■ j - ■ ■ >100Q

在数字钟的控制电路中,分和秒的控制都是一样的,都是由一个十进制计数器和一个六进制计数器串联而成的,在电路的设计中我采用的是统一的器件74LS160D 的反馈置数法来实现十进制功能和六进制功能,根据74LS160D的 结构把输出端的0110 (十进制为6)用一个与非门74LS00引到CLR端便可置0,这样就实现了六进制计数。 由两片十进制同步加法计数器74LS160级联产生,采用的是异步清零法显示部分用的是七段数码管和两片译码器74LS48D 。 图3.分秒计时电路 3.时计时电路及显示部分 由两片十进制同步加法计数器74LS160级联产生,采用的是同步置数法, u1输出端为0011 (十进制为3)与u2输出端0010 (十进制为2)经过与非门接两片的置数端。 显示部分用的是七段数码管和两片译码器74LS48D 。

Multisim数字电路仿真快速上手教程

Multisim快速上手教程 每一次数电实验都要疯了有木有!!!全是线!!!全是线!!!还都长得要命!!!完全没地方收拾啊!!!现在数电实验还要求做开放实验,还要求最好先仿真!!!从来没听说过仿真是个什么玩意儿的怎么破!!! 以下内容为本人使用仿真软件的一些心路历程,可供参考。 所谓仿真,以我的理解,就是利用计算机强大的计算能力,结合相应的电路原理(姑且理解为KVL+KCL)来对电路各时刻的状态求解然后输出的过程。相较于模拟电路,数字电路的仿真轻松许多,因为基本上都转化为逻辑关系的组合了。有人用minecraft来做数字电路,都到了做出8bitCPU的水平(https://www.doczj.com/doc/299233017.html,/v_show/id_XMjgwNzU5MDUy.html、https://www.doczj.com/doc/299233017.html,/v_show/id_XNjEwNTExODI4.html)。这个很神奇。 以下进入正文 首先,下载Multisim安装程序。具体链接就不再这里给出了(毕竟是和$蟹$版的软件),可以到BT站里搜索,有一个Multisim 12是我发的,里面有详细的安装说明,照着弄就没问题了。 好,现在已经安装上Multisim 12了。 然后运行,在Circuit Design Suite12.0里,有一个multisim,单击运行。 进去之后就是这样的。 那一大块白的地方就是可以放置元件的地方。 现在来以一个简单的数字逻辑电路为例:

菜单栏下一排是这些东西,划线的是数字电路仿真主要用得上的元件。 来个7400吧 点击TTL那个图标(就是圈里左边那个)。出来这样一个东西: 红圈里输入7400就出来了,也可以一个一个看,注意右边“函数”栏目下写的“QUAD 2-INPUT NAND”即是“四个双输入与非门”的意思。 点击确认,放置元件。 A、B、C、D在这里指一块7400里的四个双输入与非门,点击即可放置。 看起来很和谐,那就做个RS触发器吧。 这里输出用的是一种虚拟器件PROBE,在Indicators组,图标就是个数码管的那个。功能相当于实验箱上那些LED,也是高电平就点亮。元件旋转方向的方法是选中元件然后按Ctrl+R(otate)。还可以选中元件后点击右键,选择“水平翻转”等。

电路仿真软件Multisim_11.0安装使用教程及破解

Multisim 11.0 软件免费下载汉化激活全套 Multisim 11.0目前为最新版本。嵌入式系统 安装需要需要资料:17Embed,17嵌入式 1.Multisim11.0软件,免费下载地址: https://www.doczj.com/doc/299233017.html,/c07n2rh7tb m 2. Multisim11.0汉化包+激活包免费下载地址: https://www.doczj.com/doc/299233017.html,/c0frrgfutf Multisim是美国国家仪器(NI)有限公司推出的一款优秀的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 《数字电子技术》一书就是以Mulitisim作为教材工具,其强大的功能被广大老师、同学和自由爱好者所喜爱,所以本人决定在此做个教程以共大家学习参考之用。(文末附有下载) 一、安装 1、双击应用程序(379.35MB的那个)首先会出现如下窗口,确定即可。 2、确定后会出现如下窗口,说白了,就是个解压缩过程 一起嵌入式开发

3、选择第一项,然后解压缩后紧接着会出现如下窗口,仍选择第一项 4、然后选择“Install this product for evaluation”,试用的意思

5、接下来就按照提示一路狂Next就行,然后重启就行了嵌入式系统 这样安装就算完成了,接下来就是汉化和破解了。

嵌入式系统 二、汉化 1、将ZH文件夹放到目录“...\Program Files\National Instruments\Circuit Design Suite 11.0\stringfiles”下。 记住,不是目录“X:\National Instruments Downloads”,这个文件是你安装时第二步解压缩后的文件,安装完后就可以删掉了。(好多朋友在这里犯错误)17Embed,17嵌入式2、再运行Multisim11,菜单里边的:Options\Gobal Preferences\convention\language\ZH (参考图片)

最新模拟电子电路multisim仿真(很全 很好)资料

仿真 1.1.1 共射极基本放大电路 按图7.1-1搭建共射极基本放大电路,选择电路菜单电路图选项(Circuit/Schematic Option )中的显示/隐藏(Show/Hide)按钮,设置并显示元件的标号与数值等 。 1.静态工作点分析 选择分析菜单中的直流工作点分析选项(Analysis/DC Operating Point)(当然,也可以使用仪器库中的数字多用表直接测量)分析结果表明晶体管Q1工作在放大状态。 2.动态分析 用仪器库的函数发生器为电路提供正弦输入信号Vi(幅值为5mV,频率为10kH),用示波器观察到输入,输出波形。由波形图可观察到电路的输入,输出电压信号反相位关系。再一种直接测量电压放大倍数的简便方法是用仪器库中的数字多用表直接测得。 3.参数扫描分析 在图7.1-1所示的共射极基本放大电路中,偏置电阻R1的阻值大小直接决定了静态电流IC的大小,保持输入信号不变,改变R1的阻值,可以观察到输出电压波形的失真情况。选择分析菜单中的参数扫描选项(Analysis/Parameter Sweep Analysis),在参数扫描设置对话框中将扫描元件设为R1,参数为电阻,扫描起始值为100K,终值为900K,扫描方式为线性,步长增量为400K,输出节点5,扫描用于暂态分析。 4.频率响应分析 选择分析菜单中的交流频率分析项(Analysis/AC Frequency Analysis)在交流频率分析参数设置对话框中设定:扫描起始频率为1Hz,终止频率为1GHz,扫描形式为十进制,纵向刻度为线性,节点5做输出节点。 由图分析可得:当共射极基本放大电路输入信号电压VI为幅值5mV的变频电压时,电路输出中频电压幅值约为0.5V,中频电压放大倍数约为-100倍,下限频率(X1)为14.22Hz,上限频率(X2)为25.12MHz,放大器的通频带约为25.12MHz。 由理论分析可得,上述共射极基本放大电路的输入电阻由晶体管的输入电阻rbe限定,输出电阻由集电极电阻R3限定。 1.1.2共集电极基本放大电路(射极输出器)

模电multisim仿真设计

模拟电子技术基础课程设计说明书题目: Multisim仿真应用 学生:明 学号:1 院(系):理学院 专业:应用物理学 指导教师:冠强

2014 年 6 月 10日

目录 第0节背景 (1) 第1节Multisim应用举例——二极管的特性的研究 (1) 第2节 Multisim应用举例——Rb变化对Q点和电压放大倍数的影响 (2) 第3节 Multisim应用举例——直接耦合多级放大电路的调试 (4) 第4节 Multisim应用举例——消除互补输出级交越失真方法的研究 (6) 第5节 Multisim应用举例——静态工作点稳定电路频率影响的研究 (8) 第6节 Multisim应用举例——交流负反馈对放大倍数稳定性的影响 (10) 设计体会及今后改进意见 (12) 参考文献 (12)

第0节背景 Multisim是一个完整的设计工具系统,提供了一个非常大的元件数据库,并提供原理图输入接口、全部的数模Spice仿真功能、VHDL设计接口与仿真功能、 FPGA/CPLD综合、RF设计能力和后处理功能还可以进行从原理图到PCB布线工具包(如:Ultiboard)的无缝隙数据传输。 随着计算机的飞速发展,以计算机辅助设计为基础的电子设计自动化技术(EDA)已经成为电子学领 域的重要学科。EDA工具使电子电路和电子系统的设计产生了革命性的变化,它摒弃了靠硬件调试 来大道设计目标的繁琐过程,实现了硬件设计软件化。 Multisim具有齐全的元器件模型参数库和比较齐全的仪器仪表库,可模拟实验室的操作进行 各种实验。学习Multisim可以提高仿真能力、综合能力和设计能力,还可进一步提高实践能力。 第1节Multisim应用举例——二极管的特性的研究 1.1 题目 研究二极管对直流量和交流量表现的不同特点。 1.2 仿真电路 仿真电路如图1-1所示。因为只有在低频小信号下二极管才能等效成一个电阻所以图流信号的频率为1kHz、数值为10mV(有效值)。由于交流信号很小,输出电压不失真故可以认为直流电压表(测平均值)的读书是电阻上直流电压值。

模拟电子技术课程设计(Multisim仿真)

《电子技术Ⅱ课程设计》 报告 姓名 xxx 学号 院系自动控制与机械工程学院 班级 指导教师 2014 年 6 月18日

目录 1、目的和意义 (3) 2、任务和要求 (3) 3、基础性电路的Multisim仿真 (4) 3.1 半导体器件的Multisim仿真 (4) 3.11仿真 (4) 3.12结果分析 (4) 3.2单管共射放大电路的Multisim仿真 (5) 3.21理论计算 (7) 3.21仿真 (7) 3.23结果分析 (8) 3.3差分放大电路的Multisim仿真 (8) 3.31理论计算 (9) 3.32仿真 (9) 3.33结果分析 (9) 3.4两级反馈放大电路的Multisim仿真 (9) 3.41理论分析 (11) 3.42仿真 (12) 3.5集成运算放大电路的Multisim仿真(积分电路) (12) 3.51理论分析 (13) 3.52仿真 (14) 3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14) 3.61理论分析 (14) 3.62仿真 (14) 4.无源滤波器的设计 (14) 5.总结 (18) 6.参考文献 (19)

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

Multisim电路仿真

Multisim电路仿真 示例1.直流电路分析 步骤一:文件保存 打开Multisim 软件,自动产生一个名为Design1的新文件。 打开菜单File>>Save as…,将文件另存为“CS01”(自动加后缀) 步骤二:放置元件 打开菜单Place>>Component… 1.选择Sources(电源)Group (组),选择POWER_SOURCES(功率源)Family(小组),在元件栏中用鼠标双击DC_POWER,将直流电源放置到电路工作区。 说明:所有元件按Database -> Group -> Family 分类存放

2.继续放置元件: Sources Group –>POWER_SOURCES Family->ROUND(接地点 Basic Group->RESISTOR Family(选择5个电阻) 3.设定元件参数。采用下面两种方式之一 1)在放置元件时(在一系列标准值中)选择; 2)在工作区,鼠标右键点击元件,在Properties (属性)子菜单中设定。 步骤三.根据电路图连线 用鼠标拖动元件到合适位置,如果有必要,鼠标右键点击元件,可对 其翻转(Flip)或旋转(Rotate)。连线时先用鼠移至一个元件的接线端, 鼠标符号变成叉形,然后拖动到另一结点,点击右键确认连线。 若需显示全部节点编号,在菜单 Option>>Sheet Properties>>Sheet visibility 的Net names 选板中选中show all。

步骤四.电路仿真 选择菜单Simulate>>Analyses>>DC operating point…(直流工作点分析) 在DC operating point analysis窗口中,选择需要分析的变量(节点电压、元件电流或功率等)。

Multisim14仿真设计流程

Multisim 14仿真设计流程 用一个案例(模拟小信号放大及数字计数电路)来演示 Multisim 仿真大体流程,这个案例来自Multisim 软件自带 Samples,Multsim 也有对应的入门文档(Getting Started)。只要用户安装了Multsim 软件,就会有这样的一个工程在软件里,这样就不需要再四处搜索案例来学习。 执行菜单【File】→【Open samples…】即可弹出“打开文件”对话框,从中找到“Getting Started” 下的“Getting Started Final”(Final 为最终完成的仿真文件)打开即可。 此案例的难度与复杂度都不高,因为过于复杂的电路会让 Multisim 仿真初学者精力过于分散,难以从宏观上把握 Multisim 电路仿真设计流程。在这个案例中,我们对于 Multisim 软件的使用操作(如调用元器件、连接元器件、编辑参数、运行仿真)都会做尽量详细的描述,以期达到尽快让新手熟悉 Multisim 目的,这也是为更简要阐述后续案例打基础。 本书在行文时描述的 Multisim 步骤操作,均使用菜单方式,事实上,大多数操作可以直接使用工具栏上的快捷按钮,读者可自行熟悉,执行的结果与菜单操作都是一致的 1 电路原理 我们将要完成的仿真电路如下图所示:

2 一切不以原理为基础的仿真都是耍流氓,所以这里我们简要阐述一下原理:以 U4-741 运算放大 器为核心构成的同相比例放大器,对来自 V1 的交流信号进行放大(其中,R4 为可调电阻,可对放大 倍数进行调整)。放大后的信号,一路送入示波器进行观测,另一路作为时钟脉冲信号送入 U2-74LS190N(可预置同步 BCD 十进制加减法计数器)进行计数,计数结果输出为十进制,经 U3-74LS47N(BCD-七段数码管译码器)译码后驱动七段数码管进行数字显示。另外 U2-74LS190N 配置为 加法器,同时将行波时钟输出第 13 脚(RCO)驱动发光二极管。 左下区域有两个单刀双掷开关进行计数控制,S1 接到 U2 的第 4 脚(CTEN)计数使能控制引脚,低有效,当 S1 切换到接地(GND)时,计数才开始,否则计数停止;S2 接到 U2 的第 11 脚(LOAD),也是低有效,当 S2 切换到接地(GND)时,就把预置数(ABCD)赋给(Q A Q B Q C Q D),这里电路配置的(ABCD)都是接地(GND),因此相当于 S2 开关为清零功能。 右上区域还有三个旁路电路,左侧的插座与仿真没有关系。 新建仿真文件 1、首先我们打开 Multsim 软件,如下图所示,默认有一个名为 Design1 的空白文件已经打开在 工作台(WorkSpace)中。

multisim 电路仿真 课程设计

4.1 仿真设计 1、用网孔法和节点法求解电路。 如图4.1-1所示电路: 3Ω (a)用网孔电流法计算电压u的理论值。 (b)利用multisim进行电路仿真,用虚拟仪表验证计算结果。(c)用节点电位法计算电流i的理论值。 (d)用虚拟仪表验证计算结果。 解: 电路图: (a) i1=2 解得 i1=2 5i2-31-i3=2 i2=1 i3=-3 i3=-3 u=2 v (b)如图所示: (c)列出方程 4/3 U1- U2=2 解得 U1=3 v U2=2 v 2A1Ω _ + 1Ω 2V - 3A 图4.1-1 i

2U 1- U 2=2 i=1 A 结果:计算结果与电路仿真结果一致。 结论分析:理论值与仿真软件的结果一致。 2、叠加定理和齐次定理的验证。 如图4.1-2所示电路: (a)使用叠加定理求解电压u 的理论值; (b)利用multisim 进行电路仿真,验证叠加定理。 (c)如果电路中的电压源扩大为原来的3倍,电流源扩大为原来的2倍,使用齐次定理,计算此时的电压u ; (d)利用multisim 对(c )进行电路仿真,验证齐次定理。 电路图: (a ) I 1=2 7 I 2-2 I 1- I 3=0 3 I 3- I 2-2 I 4=0 解得 U 1=7(V ) I 4=-3 U 1 U 1=2(I 1- I 2) 如图所示电压源单独作用时根据网孔法列方程得: 3 I 1-2 I 2- I 3= 4 I 2=-3 U 2 7 I 3 - I 1=0 解得 U 2=9(V ) U 2=4-2 I 3 所以 U= U 1+ U 2=16(V ) (b )如图所示。 2Ω 1Ω 2Ω 4Ω 2A 3u + 4V - + u - 图4.1-2

最全面的Multisim14仿真设计流程指南

1 第2章 Multisim 仿真流程 本节我们用一个案例(模拟小信号放大及数字计数电路)来演示Multisim 仿真大体流程,这个案例来自Multisim 软件自带Samples ,Multsim 也有对应的入门文档(Getting Started ),只要用户安装了Multsim 软件,就会有这样的一个工程在软件里,这样就不需要再四处搜索案例来学习。 执行菜单【File 】→【Open samples…】即可弹出“打开文件”对话框,从中找到“Getting Started ”下的“Getting Started Final ”(Final 为最终完成的仿真文件)打开即可 此案例的难度与复杂度都不高,因为过于复杂的电路会让Multisim 仿真初学者精力过于分散,难以从宏观上把握Multisim 电路仿真设计流程。在这个案例中,我们对于Multisim 软件的使用操作(如调用元器件、连接元器件、编辑参数、运行仿真)都会做尽量详细的描述,以期达到尽快让新手熟悉Multisim 目的,这也是为更简要阐述后续案例打基础。 本书在行文时描述的Multisim 步骤操作,均使用菜单方式,事实上,大多数操作可以直接使用工具栏上的快捷按钮,读者可自行熟悉,执行的结果与菜单操作都是一致的 2.1 电路原理 我们将要完成的仿真电路如下图所示:

2 一切不以原理为基础的仿真都是耍流氓,所以这里我们简要阐述一下原理:以U4-741运算放大器 为核心构成的同相比例放大器,对来自V1的交流信号进行放大(其中,R4为可调电阻,可对放大倍数 进行调整)。放大后的信号,一路送入示波器进行观测,另一路作为时钟脉冲信号送入U2-74LS190N(可 预置同步BCD十进制加减法计数器)进行计数,计数结果输出为十进制,经U3-74LS47N(BCD-七段 数码管译码器)译码后驱动七段数码管进行数字显示。另外U2-74LS190N配置为加法器,同时将行波时 钟输出第13脚(RCO)驱动发光二极管。 左下区域有两个单刀双掷开关进行计数控制,S1接到U2的第4脚(CTEN)计数使能控制引脚, 低有效,当S1切换到接地(GND)时,计数才开始,否则计数停止;S2接到U2的第11脚(LOAD),也是低有效,当S2切换到接地(GND)时,就把预置数(ABCD)赋给(Q A Q B Q C Q D),这里电路配置 的(ABCD)都是接地(GND),因此相当于S2开关为清零功能。 右上区域还有三个旁路电路,左侧的插座与仿真没有关系。 2.1.1 新建仿真文件 1、首先我们打开Multsim软件,如下图所示,默认有一个名为Design1的空白文件已经打开在工作 台(WorkSpace)中。

基于Multisim的模拟电路仿真技术

本科毕业设计(论文) 题目基于Multisim的 模拟电路仿真技术 部系地方生部 专业电子信息工程 学员郑怿 指导教员梁发麦 中国人民解放军海军航空工程学院 2007 年7 月

基于Multisim的模拟电路仿真技术 摘要:介绍了Multisim 软件的功能和特点,提出运用Multisim 实现模拟电路的仿真方法。通过几个电子原理性电路的仿真实例阐述了模拟电路建立、元器件的选用和仿真参数的设置方法等关健问题,同时得到了正确的仿真结果。 关键词:模拟电路;Multisim ;仿真技术;EDA 从20 世纪80 年代以来,电子系统日趋数字化、复杂化和大规模集成化。同时深亚微米半导体工艺、B 表面安装技术的发展又支持了产品集成化程度的进步,使电子产品进入了片上系统(SOC )时代。另外电子产品厂商不懈追求缩短产品设计周期,从而获取高收益。在这些因素的影响下,EDA 技术应运而生。EDA ( Electronic Design Automation ,电子设计自动化)技术是一门综合了现代电子与计算机技术,以计算机为平台对电子电路、系统或芯片进行设计、仿真和开发的计算机辅助设计技术。利用EDA 技术对电力电子电路进行仿真一直是研究电力电子技术的工程技术人员所期望实现的目标。Multisim 就为此提供了一个良好的平台。在这个平台上可以容易地实现了基本的电力电子电路的仿真,包括不控整流电路、可控整流电路、逆变电路等电路的仿真分析。仿真得到的结果与理论分析的结果基本一致,这对电子电路的设计具有重大的意义。本文主要介绍利用Multisim 10平台对基本电子电路进行仿真的方法,得出与理论相符合的结果,有利于实际的工程设计。 1 Multisim 的功能和特点 加拿大Interactive Image Technologie 公司在1958 年推出了一个专门用于电子电路仿真和设计的EDA 工具软件EWB ( Electronics Workbench )。由于EWB 具有许多突出的优点,引起了电子电路设计工作者的关注,迅速得到了推广使用。但是随着电子技术的飞速发展,EWB 5 . x 版本的仿真设计功能已远远不能满足复杂的电子电路的仿真设计要求。因此IIT 公司将用于电路级仿真设计的模块升级为Multi sim ,并于2001 年推出了Multisim 的最新版本Multisim 2001 。 Multisim 2001 继承了 EWB 界面形象直观、操作方便、仿真分析功能强大、分析仪器齐全、易学易用等诸多优点,并在功能和操作上进行了较大改进。主要表现为:增加了射频电路的仿真功能;极大扩充了元器件库;新增了元件编辑器;扩充了电路的测试功能;增加了瓦特表、失真仪、网络分析仪等虚拟仪器,并允许仪器仪表多台同时使用;改进了元件之间的连接方式,允许任意走向;支持VHDL 和Verilo g 语言的电路仿真与设计;允许把子电路作为一个元器件使用,允许用户自定义元器件的属性等。 工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 (一)模拟电路举例: 1.1 晶体管基本放大电路 共射极,共集电极和共基极三种组态的基本放大电路是模拟电子技术的基础,通过EWB对其进行仿真分析,进一步熟悉三种电路在静态工作点,电压放大倍数,频率特性以及输入,输出电阻等方面各自的不同特点。

模拟电路Multisim软件仿真教程

第13章 Multisim模拟电路仿真本章Multisim10电路仿真软件, 本章节讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim 经历了多个版本的升级,已经有Multisim2001、 Multisim7、 Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

基于multisim的电路设计与仿真

收稿日期:2004-05-19 第22卷 第5期 计 算 机 仿 真 2005年5月 文章编号:1006-9348(2005)05-0109-02 基于multisim 的电路设计与仿真 张晶,李心广 (广东外语外贸大学信息科学技术学院,广东广州,510420) 摘要:电子设计自动化(E DA )技术是电子设计领域的一场革命,改变了以变量估算和电路实验为基础的电路设计方法。Mul 2 tisim 是一个专门用于电子线路仿真与设计的E DA 工具软件,能完成从电路的仿真设计到电路版图生成的全过程,从而为电 子系统的设计、电子产品的开发和电子系统工程提供了一种全新的手段和便捷的途径。该文介绍了它的主要功能、特点,并结合电子电路实例叙述其设计、仿真与分析的具体运用。关键词:电子设计自动化;电路设计;仿真分析中图分类号:TP391.9 文献标识码:A Multisim B ased Schematic Design and Simulation ZHANGJing ,LI X in -guang (Department of C omputer Science &T echnology ,G uangdong Univercity of F oreign S tudies ,G uangzhou G uangdong 510420,China ) ABSTRACT:The technology of E DA (E lectronic Design Automation )is an innovation in the field of electronic design.It trans forms the circuit design measure based on variable estimate and circuit experiment.Multisim is a special s oftware for electronic circuit design and simulation.It can complete the whole process from circuit simulation designed to circuit diagram created ,thereby providing a new and convenient approach for electronic system exploitation ,electronic product and elec 2tronic system engineering.This article introduces the main functions and features of multisim.And in this article ,with ex 2amples of electronic circuit ,s ome exercises about the design and applications in practice are illustrated.KE YWOR DS :E lectronic design automation ;Circuit design ;S imulation analyse 1 引言 目前随着国际上电子工业和计算机技术的飞速发展,电子产品已与计算机系统紧密相连,电子产品的智能化日益完善,电路的集成度越来越高,而产品的更新周期却越来越短。以定量估算和电路试验为基础的电路设计方法已经无法适应当前激烈竞争的市场。电子设计自动化(E DA )技术,使得电子线路的设计人员能在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至印刷电路板的自动生成,其中包括印制板的温度分布和电磁兼容性测试,代表着 现代电子系统设计的技术潮流。 [1]2 multisim2001的主要功能及特点 Multisim 是加拿大IIT (Interactive Image T echnologies )公司 在EW B (E lectronics W orkbench )基础上推出的电子电路仿真设计软件,是一个专门用于电子线路仿真与设计的E DA 工具软件。作为Windows 下运行的个人桌面电子设计工具, Multisim 是一个完整的集成化设计环境。它具有如下特点: 1)具有直观的图形界面:整个操作界面就像一个电子实 验工作台,绘制电路所需的元器件和仿真所需的测试仪器均可直接拖放到屏幕上,轻点鼠标可用导线将它们连接起来,软件仪器的控制面板和操作方式都与实物相似,测量数据、波形和特性曲线如同在真实仪器上看到的一样。 2)具有一个庞大的元气件库。具备如信号源、基本元气 件、模拟集成电路、数字集成电路、指示部件、控制部件等各种元气件。 ()具有强大的仿真能力:既可对模拟电路或数字电路分 别进行仿真,也可进行数模混合仿真,尤其是新增了射频 (RF )电路的仿真功能。仿真失败时会显示出错信息、提示可 能出错的原因,仿真结果可随时储存和打印。 4)强大的分析功能。提供了14种仿真分析方法,如直 流工作点分析、交流分析、瞬态分析、傅立叶分析、噪声分析、失真分析、直流扫描分析、参数扫描分析、零极点分析、传递函数分析、温度扫描分析、后处理分析等。5)强大的虚拟仪器功能。如示波器、万用表、瓦特计、扫 描仪、失真仪、网络分析仪、逻辑转换仪、字信号发生器等。 6)VH D L/Verilog 设计输入和仿真。Multisim 软件将 — 901—

数字时钟的Multisim设计与仿真

数字电子技术课程设计 学院:信息工程学院 班级:电气二班 姓名:刘君宇张迪王应博 学号:10 16 09

数字时钟的Multisim设计和仿真 一、设计和仿真要求 学习综合数字电子电路的设计、实现 ? 基础调研 ? 应用设计、逻辑设计、电路设计 ? 用Multisim 软件验证电路设计 ? 分析电路功能是否符合预期,进行必要的调试修改 ? 撰写Project 报告,提交Multisim 二、总体设计和电路框图 图1. 数字钟电路框图 三、具备功能 ?24小时计时; ?动态显示时、分、秒各位; ?快速校时、校分; ?整点报时; ?按照设定时间启动闹钟; ?为闹钟设置彩铃; ??扩展功能:显示星期; 四、整体电路原理图 整体电路共分为五大模块:脉冲产生部分、计数部分、闹钟部分、显示部分、校时部分。主要由矩形波产生器、秒计数器、分计数器、时计数器、LED七段显示数码管、时间校准电路,闹钟电路构成。

五、结论 由脉冲发生器、秒计数器、分计数器、时计数器、LED显示数码管设计了数字时钟电路,经过仿真得出较理想的结果,说明电路图及思路是正确的,可以实现所要求的基本功能:计时、显示精确到秒、时分秒校时。 下页附设计感想和分工

整点报时设计体会 刘君宇10(分工:完成电路设计,整点报时,闹钟,扩展功能) 通过对软件Multisim的学习和使用,进一步加深了对数字电路的认识。在仿真过程中遇到许多困难,但通过自己的努力和同学的帮助都一一克服了。首先,连接电路图过程中,数码管不能显示,后经图形放大后才发现是电路断路了。其次,布局的时候因元件比较多,整体布局比较困难,因子电路不如原电路直观,最后在不断努力下,终于不用子电路布好整个电路。 调试时有的器件在理论上可行,但在实际运行中就无法看到效果,所以得换不少器件,有时无法找出错误便更换器件重新接线以使电路正常运行。在整个设计中,计数器的接线比较困难,反复修改了多次,在认真学习其用法后采用归零法和置数法设计出60进制和24进制的计数器。 同时,在最后仿真时,预置的频率一开始用的是1hz,结果仿真结果反应很慢,后把频率加大,这才在短时间内就能看到全部结果。总之,通过这次对数字时钟的设计与仿真,为以后的电路设计打下良好的基础,一些经验和教训,将成为宝贵的学习财富。

相关主题
文本预览
相关文档 最新文档