当前位置:文档之家› 计算机组织与体系结构课件17

计算机组织与体系结构课件17

计算机组织与体系结构实验报告

《计算机组织与体系结构》 实验报告 学号: XXX 姓名:XXX 班级:XXX 指导教师:XXX 时间: 2013年01月 中国矿业大学计算机学院

目录 一基本运算器实验 (2) 1、实验目的 (2) 2、实验设备 (2) 3、实验原理 (2) 4、实验步骤 (3) 5、实验结果 (5) 5、实验体会 (5) 二微程序控制实验 (6) 1、实验目的 (6) 2、实验设备 (6) 3、实验原理 (6) 4、实验步骤 (12) 5、实验体会 (13) 三CPU与简单模型机设计实验 (13) 1、实验目的 (13) 2、实验设备 (13) 3、实验原理 (13) 4、实验步骤 (18) 5、实验流图 (21) 6、实验体会 (25)

实验一基本运算器实验 1. 实验目的 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 2. 实验设备 PC机一台,TD-CMA实验系统一套。 3.实验原理 本实验的原理如下图所示: 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A和暂存器B,三个部件同时接受来自A和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片FPGA中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2所示。图中显示的是一个4X4的矩阵(系统中是一个8X8的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连,而没有同任何输入相连的则输出连接0。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4位矩阵中使用‘右1’和‘左3’对角线来实现右循环1位。 (3) 对于未连接的输出位,移位时使用符号扩展或是0填充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。

计算机组成与结构

第1章计算机组成与体系结构 根据考试大纲,本章内容要求考生掌握3个知识点。 (1)构成计算机的各类部件的功能及其相互关系; (2)各种体系结构的特点与应用(SMP、MPP); (3)计算机体系结构的发展。 1.1 计算机体系结构的发展 冯·诺依曼等人于1946年提出了一个完整的现代计算机雏形,它由运算器、控制器、存储器和输入/输出设备组成。现代的计算机系统结构与冯·诺依曼等人当时提出的计算机系统结构相比,已发生了重大变化,虽然就其结构原理来说,占有主流地位的仍是以存储程序原理为基础的冯·诺依曼型计算机,但是,计算机系统结构有了许多改进,主要包括以下几个方面。 (1)计算机系统结构从基于串行算法改变为适应并行算法,从而出现了向量计算机、并行计算机、多处理机等。 (2)高级语言与机器语言的语义距离缩小,从而出现了面向高级语言机器和执行高级语言机器。 (3)硬件子系统与操作系统和数据库管理系统软件相适应,从而出现了面向对象操作系统机器和数据库计算机等。 (4)计算机系统结构从传统的指令驱动型改变为数据驱动型和需求驱动型,从而出现了数据流计算机和归约机。 (5)为了适应特定应用环境而出现了各种专用计算机。 (6)为了获得高可靠性而研制容错计算机。 (7)计算机系统功能分散化、专业化,从而出现了各种功能分布计算机,这类计算机包括外围处理机、通信处理机等。 (8)出现了与大规模、超大规模集成电路相适应的计算机系统结构。 (9)出现了处理非数值化信息的智能计算机。例如自然语言、声音、图形和图像处理等。 1.2 构成计算机的各类部件的功能及其相互关系 计算机由控制器、运算器、存储器、输入设备和输出设备组成。

吉林大学 计算机系统结构题库 第二章

第二章计算机指令集结构 知识点汇总: 指令集设计、堆栈型机器、累加器型机器、通用寄存器型机器、CISC、RISC、寻址方式、数据表示 简答题 1.增强CISC机器的指令功能主要从哪几方面着手?(CISC) (1) 面向目标程序增强指令功能。 (2) 面向高级语言和编译程序改进指令系统。 (3) 面向操作系统的优化实现改进指令系统。 2.简述CISC存在的主要问题。(知识点:CISC) 答:(1)CISC结构的指令系统中,各种指令的使用频率相差悬殊。 (2)CISC结构指令系统的复杂性带来了计算机系统结构的复杂性,这不仅增加了研制时间和成本,而且还容易造成设计错误。 (3)CISC结构指令系统的复杂性给VLSI设计增加了很大负担,不利于单片集成。 (4)CISC结构的指令系统中,许多复杂指令需要很复杂的操作,因而运行速度慢。 (5)在CISC结构的指令系统中,由于各条指令的功能不均衡性,不利于采用先进的计算机系统结构技术来提高系统的性能。 3.简述RISC的优缺点及设计RISC机器的一般原则。(知识点:RISC) 答:(1)选取使用频率最高的指令,并补充一些最有用的指令。 (2)每条指令的功能应尽可能简单,并在一个机器周期内完成。 (3)所有指令长度均相同。 (4)只有load和store操作指令才访问存储器,其它指令操作均在寄存器之间进行。 (5)以简单、有效的方式支持高级语言。 4.根据CPU内部存储单元类型,可将指令集结构分为哪几类?(知识点:堆栈型机器、累加器型机器、通用寄存器型机器) 答:堆栈型指令集结构、累加器型指令集结构、通用寄存器型指令集结构。 5.常见的三种通用寄存器型指令集结构是什么?(知识点:通用寄存器型机器) 答:(1)寄存器-寄存器型。 (2)寄存器-存储器型。 (3)存储器-存储器型。

计算机体系结构第二章练习题参考解答

第二章 2.13 在一台单流水线多操作部件的处理机上执行下面的程序,每条指令的取指令、指令译码需要一个时钟周期,MOVE、ADD和MUL操作分别需要2个、3个和4个时钟周期,每个操作都在第一个时钟周期从通用寄存器中读操作数,在最后一个时钟周期把运算结果写到通用寄存器中。 k: MOVE R1,R0 ;R1← (R0) k+1: MUL R0,R2,R1 ;R0← (R2)×(R1) k+2: ADD R0,R2,R3 ;R0← (R2)+(R3) (1)就程序本身而言,可能有哪几种数据相关? (2)在程序实际执行过程中,哪几种数据相关会引起流水线停顿? (3)画出指令执行过程的流水线时空图,并计算完成这3条指令共需要多少个时钟周期? 解:(1)就程序本身而言,可能有三种数据相关。若3条指令顺序流动,则k指令对R1寄存器的写与k+1指令对R1寄存器的读形成的“先写后读”相关。若3条指令异步流动,则k指令对R0寄存器的读与k+1指令对R0寄存器的写形成的“先读后写”相关,k+2指令对R0寄存器的写与k+1指令对R0寄存器的写形成的“写—写”相关。 (2)在程序实际执行过程中,二种数据相关会引起流水线停顿。一是“先写后读”相关,k指令对R1的写在程序执行开始后的第四个时钟;k+1指令对R1的读对指令本身是第三个时钟,但k+1指令比k指令晚一个时钟进入流水线,则在程序执行开始后的第四个时钟要读R1。不能在同一时钟周期内读写同一寄存器,因此k+1指令应推迟一个时钟进入流水线,产生了流水线停顿。二是“写—写”相关,k+1指令对R0的写对指令本身是第六个时钟,而要求该指令进入流水线应在程序执行开始后的第三个时钟,所以对R0的写是在程序执行开始后的第八个时钟。k+2指令对R0的写对指令本身是第五个时钟,而k+2指令比k+1指令晚一个时钟进入流水线,则在程序执行开始后的第四个时钟,所以对R0的写是在程序执行开始后的第八个时钟。不能在同一时钟周期内写写同一寄存器,因此k+2指令应推迟一个时钟进入流水线,产生了流水线停顿。另外,可分析“先读后写”相关不会产生流水线的停顿。 (3)由题意可认位该指令流水线由六个功能段取指、译码、取数、运一、运二和存数等组成,则程序指令执行过程的流水线时空图如下图所示。若3条指令顺序流动,共需要9个 空间 存数 K存数 K+1存数 K+2存数 运二 K+1运二 运一 K+1运一 K+2运一 取数 K取数 K+1取数 K+2取数 译码 K译码 K+1译码 K+2译码 取指 K取指 K+1取指 K+2取指时间 0 1 2 3 4 5 6 7 8 9

计算机组成与系统结构常见选择题

一、选择题(50分,每题2分,正确答案可能不只一个,可单选或复选) 1.(CPU周期、机器周期)是内存读取一条指令字的最短时间。 2.(多线程、多核)技术体现了计算机并行处理中的空间并行。 3.(冯诺伊曼、存储程序)体系结构的计算机把程序及其操作数据一同存储在存储器里。 4.(计算机体系结构)是机器语言程序员所看到的传统机器级所具有的属性,其实质是确定计算机系统中软硬件的界面。 5.(控制器)的基本任务是按照程序所排的指令序列,从存储器取出指令操作码到控制器中,对指令操作码译码分析,执行指令操作。 6.(流水线)技术体现了计算机并行处理中的时间并行。 7.(数据流)是执行周期中从内存流向运算器的信息流。 8.(指令周期)是取出并执行一条指令的时间。 年开始出现的第二代计算机,使用(晶体管)作为电子器件。 年代中期开始出现的第三代计算机,使用(小规模集成电路、中规模集成电路)作为电子器件。 年代开始出现的第四代计算机,使用(大规模集成电路、超大规模集成电路)作为电子器件。 存储器在产生替换时,可以采用以下替换算法:(LFU算法、LRU算法、随机替换)。 的功能由(硬件)实现,因而对程序员是透明的。 是介于CPU和(主存、内存)之间的小容量存储器,能高速地向CPU提供指令和数据,从而加快程序的执行速度。 由高速的(SRAM)组成。 的基本功能包括(程序控制、操作控制、时间控制、数据加工)。的控制方式通常分为:(同步控制方式、异步控制方式、联合控制方式)反映了时序信号的定时方式。 的联合控制方式的设计思想是:(在功能部件内部采用同步控制方式、在功能部件之间采用异步控制方式、在硬件实现允许的情况下,尽可能多地采用异步控制方式)。 的同步控制方式有时又称为(固定时序控制方式、无应答控制方式)。 的异步控制方式有时又称为(可变时序控制方式、应答控制方式)。

计算机体系结构知识点

目录 第一章计算机系统结构基本概念 (2) (一) 概念 (2) (二) 定量分析技术 (3) (三) 计算机系统结构发展 (4) (四) 计算机的并行性 (5) 第二章计算机指令集结构 (7) 一. 指令集结构的分类 (7) 二. 寻址方式 (7) 三. 指令集结构的功能设计 (8) 四. 指令格式的设计 (10) 五. MIPS指令集结构 (10) 第三章流水线技术 (14) 一. 流水线的基本概念 (14) 二. 流水线的性能指标 (14) 三. 流水线的相关与冲突 (16) 四. 流水线的实现 (18) 第四章指令集并行 (18) 付志强

第一章计算机系统结构基本概念 (一)概念 什么是计算机系统结构:程序员所看到的计算机属性,即概念性结构与功能特性. 透明性:在计算机技术中,把本来存在的事物或属性,但从某种角度看又好像不存在的概念成为透明性. 常见计算机系统结构分类法 冯氏分类法(冯泽云):按最大并行度对计算机进行分类. Flynn分类法:按指令流和数据流多倍性进行分类 ①单指令流单数据流 ②单指令流多数据流 ③多指令流单数据流(不存在) ④多指令流多数据流 付志强

(二)定量分析技术 Amdahl定律:加快某部件执行速度所能获得的系统性能加速比,受限于该部件的执行时间占系统中总执行时间的百分比. 加速比=系统性能 改进后 系统性能 改进前 = 总执行时间 改进前 总执行时间 改进后 加速比依赖于以下两个因素 ①可改进比例 ②部件加速比 CPU性能公式 CPU时间 CPU时间=执行程序所需时间的时钟周期数x时钟周期时间(系统频率倒数) CPI(Cycles Per Instruction) CPI =执行程序所需时钟周期数/所执行指令条数 ∴CPU时间= IC x CPI x 时钟周期时间 可知CPU性能取决于一下三个方面 ①时钟周期时间:取决于硬件实现技术和计算机组成 付志强

计算机系统结构第二章自考练习题答案

计算机系统结构第二章自考练习题答案

第二章数据表示与指令系统 历年真题精选 1. 计算机中优先使用的操作码编码方法是( C )。 A. BCD码 B. ASCII码 C. 扩展操作码 D. 哈夫曼编码 2.浮点数尾数基值r m=16,除尾符之外的尾数机器位数为8位时,可表示的规格化最大尾数值为( D )。 A. 1/2 B. 15/16 C. 1/256 D. 255/256 3. 自定义数据表示包括(标志符)数据表示和(数据描述符)两类。 4. 引入数据表示的两条基本原则是:一看系统 的效率是否有提高;二看数据表示的(通

用)性和(利用)率是否高。 5. 简述设计RISC的一般原则。 6. 简述程序的动态再定位的思想。 7. 浮点数表示,阶码用二进制表示,除阶符之外 的阶码位数p=3,尾数基值用十进制表示,除尾符外的尾数二进制位数m=8,计算非负阶、规格化、正尾数时, (1)可表示的最小尾数值;(2)可表示的最大值;(3)可表示的尾数个数。 8. (1)要将浮点数尾数下溢处理成K—1位结 果,则ROM表的单元数和字长各是多少? 并简述ROM表各单元所填的内容与其地址之间的规则。 (2)若3位数,其最低位为下溢处理前的附 加位,现将其下溢处理成2位结果,设

计使下溢处理平均误差接近于零的 ROM表,以表明地址单元与其内容的 关系。 同步强化练习 一.单项选择题。 1. 程序员编写程序时使用的地址是( D )。 A.主存地址B.有效地址C.辅存实地址D.逻辑地址 2. 在尾数下溢处理方法中,平均误差最大的是( B )。 A.舍入法B.截断法C.恒置“1”法D.ROM查表法 3. 数据表示指的是( C )。A.应用中要用到的数据元素之间的结构关系

计算机系统结构-第二章(习题解答)

1. 数据类型、数据表示和数据结构之间是什么关系?在设计一个计算机系统 时,确定数据表示的原则主要有哪几个? 答: 略 2. 假设有A 和B 两种不同类型的处理机,A 处理机中的数据不带标志位,其 指令字长和数据字长均为32位。B 处理机的数据带有标志位,每个数据的字长增加至36位,其中有4位是标志符,它的指令条数由最多256条减少至不到64条。如果每执行一条指令平均要访问两个操作数,每个存放在存储器中的操作数平均要被访问8次。对于一个由1000条指令组成的程序,分别计算这个程序在A 处理机和B 处理机中所占用的存储空间大小(包括指令和数据),从中得到什么启发? 答: 我们可以计算出数据的总数量: ∵ 程序有1000条指令组成,且每条指令平均要访问两个操作数 ∴ 程序访问的数据总数为:1000×2=2000个 ∵ 每个数据平均访问8次 ∴ 程序访问的不同数据个数为:2000÷8=250 对于A 处理机,所用的存储空间的大小为: bit 4000032250321000Mem Mem Mem data n instructio A =?+?=+= 对于B 处理机,指令字长由32位变为了30位(条数由256减少到64),这样,所用的存储空间的大小为: bit 3900036250301000Mem Mem Mem data n instructio B =?+?=+=

由此我们可以看出,由于数据的平均访问次数要大于指令,所以,采用带标志符的数据表示不会增加总的存储空间大小。 3. 对于一个字长为64位的存储器,访问这个存储器的地址按字节编址。假设 存放在这个存储器中的数据中有20%是独立的字节数据(指与这个字节数据相邻的不是一个字节数据),有30%是独立的16位数据,有20%是独立的32位数据,另外30%是独立的64位数据;并且规定只能从一个存储字的起始位置开始存放数据。 ⑴计算这种存储器的存储空间利用率。 ⑵给出提高存储空间利用率的方法,画出新方法的逻辑框图,并计算这种方法 的存储空间利用率。 答: ⑴ 由于全是独立数据,有20%浪费56位(7/8);30%浪费48位(6/8);20%浪费32位(4/8);30%浪费0位(0/8)。 总共浪费:0.2×7/8+0.3×6/8+0.2×4/8+0.3×0/8=0.5 即:存储器的存储空间利用率为50%,浪费率为50%。 ⑵ 方案为:数据从地址整数倍位置开始存储,即,双字地址000结尾,单字地址00结尾,半字地址0结尾,字节地址结尾任意。 可能出现的各种情况如下:

计算机组成与体系结构复习大纲2016

《计算机组成与系统结构》考试大纲 第1章计算机系统概论 本章的学习目的:初步了解计算机系统的组成和计算机的工作过程,掌握常用的概念、名词术语,为以后各章的学习打下基础。 本章要掌握的主要内容: 1.计算机系统是由硬件和软件两大部分组成的,硬件是物质基础,软件是解题的灵魂。弄清硬件和软件的概念。 2.计算机硬件系统所包含的主要部分,各部分的功能及其组成框图。 3.计算机的工作过程,主要是周而复始地取出指令、解释指令和执行指令的过程。而指令周期是指取出指令和执行指令所需的时间。它包括取出指令、解释指令和执行指令两个阶段。 4.冯·诺依曼计算机的设计思想是采用二进制表示各种信息以及存储程序和程序控制。存储程序的概念是将解题程序(连同必须的原始数据)预先存入存储器;程序控制是指控制器依据所存储的程序控制全机自动、协调地完成解题任务。存储程序和程序控制统称为存储程序控制。它是电子数字计算机与其他计算工具的最大区别,是电子计算机之所以能高速进行大量计算工作的基础。 5.控制器和运算器合称为中央处理器CPU,当前CPU芯片还集成有存储管理部件、Cache等;CPU和内存储器合称为计算机主机。 6.指令字和数据均以二进制代码的形式存入存储器,计算机是如何区分出指令和数据的。 7.计算机系统的主要性能指标:字长、存储容量、运算速度等。 8.计算机的运算速度是指它每秒钟执行指令的条数。单位是MIPS(百万条指令每秒) ∑=? = n i i i m t f V 1 1 式中,n—指令的种类 f i —第i种指令在程序中出现的频度(%) t i —第i种指令的指令周期 9.计算机系统按功能划分,通常为五级的层次结构:依次是微程序设计级、一般机器级、操作系统级、汇编语言级和高级语言级,每一级都可进行程序设计。 10.软件和硬件在逻辑功能的等效性及其例子。 11.本章主要的术语及概念:

计算机组成与系统结构第二章习题及答案

一、填空题(20每空2分) 1.计数制中使用的数据个数被称为。(基) 2.移码常用来表示浮点数的部分,移码和补码比较,它们除外,其他各位都相同。(阶码,符号位) 3.码值80H: 若表示真值0,则为;若表示-128,则为; 若表示-127,则为;若表示-0,则为。(移码补码反码原码) 4. 在浮点运算过程中,如果运算结果的尾数部分不是形式,则需要进行规格化处理。设尾数采用补码表示形式,当运算结果时,需要进行右规操作;当运算结果时,需要进行左规操作。 (规格化溢出不是规格化数) 二、选择题(20每题2分) 1.以下给出的浮点数,______是规格化浮点数。(B) A.2^-10×0.010101 B.2^-11×0.101010 C.2^-100×1.010100 D.2^-1×0.0010101 2.常规乘除法器乘、除运算过程采用部分积、余数左移的做法,其好处是______。(C) A.提高运算速度 B. 提高运算精度 C. 节省加法器的位数 D. 便于控制 3.逻辑异运算10010011和01011101的结果是。(B) A.01001110 B.11001110

C.11011101 D.10001110 4.浮点数尾数基值rm=8,尾数数值部分长6位,可表示的规格化最小正尾数为。(C) 1.A.0.5 B.0.25 C.0.125 D.1/64 5.当浮点数尾数的基值rm=16,除尾符之外的尾数机器位数为8位时,可表示的规格化最大尾数值是。(D) A.1/2 B.15/16 C.1/256 D.255/256 6.两个补码数相加,采用1位符号位,当时表示结果溢出。(D) A、符号位有进位 B、符号位进位和最高数位进位异或结果为0 C、符号位为1 D、符号位进位和最高数位进位异或结果为1 7.运算器的主要功能时进行。(C) A、逻辑运算 B、算术运算 C、逻辑运算和算术运算 D、只作加法 8.运算器虽有许多部件组成,但核心部件是。(B) A、数据总线 B、算术逻辑运算单元 C、多路开关 D、累加寄存器 9.在定点二进制运算中,减法运算一般通过来实现。(D) A、原码运算的二进制减法器 B、补码运算的二进制减法器 C、补码运算的的十进制加法器 D、补码运算的的二进制加法器 10.ALU属于部件。(A) A、运算器 B、控制器 C、存储器 D、寄存器 三、判断题(10每题2分) 1.计算机表示的数发生溢出的根本原因是计算机的字长有限。(错误)

《_计算机组成与系统结构》考试试卷

学试卷 院(系、部) 专业 班级 姓名 学号 …… .… … … … … …… … … … … .密… … … … … … … … … …… … … … … 封 … … … … …… . . …… … … … ……. . 线… … … … … … … … … … … … … … . . 计算机组成与系统结构考试试卷 一. 填空题 (填空每空1分,共10分;选择填空每空2分,共20分) 1.计算机系统中的存贮器系统是指___D ___。 A RAM 存贮器 B ROM 存贮器 C 主存贮器 D cache 、主存贮器和外存贮器 2.某机字长32位,其中1位符号位,31位表示尾数。若用定点小数表示,则最大正小数为___B ___。 A +(1 – 2-32) B +(1 – 2-31) C 2-32 D 2-31 3.算术 / 逻辑运算单元74181ALU 可完成___C ___。 A 16种算术运算功能 B 16种逻辑运算功能 C 16种算术运算功能和16种逻辑运算功能 D 4位乘法运算和除法运算功能 4.存储单元是指___B ___。 A 存放一个二进制信息位的存贮元 B 存放一个机器字的所有存贮元集合 C 存放一个字节的所有存贮元集合 D 存放两个字节的所有存贮元集合; 5.相联存贮器是按___C ___进行寻址的存贮器。 A 地址方式 B 堆栈方式 C 内容指定方式 D 地址方式与堆栈方式 6.变址寻址方式中,操作数的有效地址等于___C ___。 A 基值寄存器内容加上形式地址(位移量) B 堆栈指示器内容加上形式地址(位移量) C 变址寄存器内容加上形式地址(位移量) D 程序记数器内容加上形式地址(位移量) 7.以下叙述中正确描述的句子是:___D ___。 A 同一个CPU 周期中,可以并行执行的微操作叫相容性微操作 B 同一个CPU 周期中,不可以并行执行的微操作叫相容性微操作 C 同一个CPU 周期中,可以并行执行的微操作叫相斥性微操作 D 同一个CPU 周期中,不可以并行执行的微操作叫相斥性微操作 8.计算机使用总线结构的主要优点是便于实现积木化,同时___C ___。 A 减少了信息传输量 B 提高了信息传输的速度 C 减少了信息传输线的条数

第一部分计算机系统组成及说明

第一部分:计算机系统组成及说明 一、计算机系统组成 一个完整的计算机系统通常是由硬件系统和软件系统两大部分组成的。(一)硬件(hardware) 硬件是指计算机的物理设备,包括主机及其外部设备。具体地说,硬件系统由运算器、控制器、存储器、输入设备和输出设备五大部件组成。 ①存储器。存储器是计算机用来存放程序和原始数据及运算的中间结果和最后结果的记忆部件。 ②运算器。运算器对二进制数码进行算术或逻辑运算。 ③控制器。控制器是计算机的“神经中枢”。它指挥计算机各部件按照指令功能的要求自动协调地进行所需的各种操作。 ④输入/输出设备(简称I/O设备)。计算机和外界进行联系业务要通过输入输出设备才能实现。输入设备用来接受用户输入的原始数据和程序,并将它们转换成计算机所能识别的形式(二进制)存放到内存中。输出设备的主要功能是把计算机处理的结果转变为人们能接受的形式,如数字、字母、符号或图形。 (二)软件(software) 软件是指系统中的程序以及开发、使用和维护程序所需要的所有文档的集合。包括计算机本身运行所需的系统软件和用户完成特定任务所需的应用软件(三)硬件和软件的关系

硬件是计算机的基础,软件对硬件起辅助支持作用,二者相辅相成,缺一不可,只有有了软件的支持,硬件才能充分发挥自己的作用。 二、计算机工作原理 (一)冯·诺依曼设计思想 计算机问世50年来,虽然现在的计算机系统从性能指标、运算速度、工作方式、应用领域和价格等方面与当时的计算机有很大的差别,但基本体系结构没有变,都属于冯·诺依曼计算机。 冯·诺依曼设计思想可以简要地概括为以下三点: ①计算机应包括运算器、存储器、控制器、输入和输出设备五大基本部件。 ②计算机内部应采用二进制来表示指令和数据。每条指令一般具有一个操作码和一个地址码。其中,操作码表示运算性质,地址码指出操作数在存储器的位置。 ③将编好的程序和原始数据送入内存储器中,然后启动计算机工作,计算机应在不需操作人员干预的情况下,自动逐条取出指令和执行任务。 冯·诺依曼设计思想最重要之处在于他明确地提出了“程序存储”的概念。他的全部设计思想,实际上是对“程序存储”要领的具体化。

第2章 计算机体系结构 习题与答案说课讲解

第2章计算机体系结构习题与答案

第二章习题(P69-70) 一、复习题 1.简述冯?诺依曼原理,冯?诺依曼结构计算机包含哪几部分部件,其结构以何部件为中心? 答:冯?诺依曼理论的要点包括:指令像数据那样存放在存储器中,并可以像数据那样进行处理;指令格式使用二进制机器码表示;用程序存储控制方式工作。这3条合称冯?诺依曼原理 冯?诺依曼计算机由五大部分组成:运算器、控制器、存储器、输入设备、输出设备,整个结构一般以运算器为中心,也可以以控制器为中心。 (P51-P54) 2.简述计算机体系结构与组成、实现之间的关系。 答:计算机体系结构通常是指程序设计人员所见到的计算机系统的属性,是硬件子系统的结构概念及其功能特性。计算机组成(computer organization)是依据计算机体系结构确定并且分配了硬件系统的概念结构和功能特性的基础上,设计计算机各部件的具体组成,它们之间的连接关系,实现机器指令级的各种功能和特性。同时,为实现指令的控制功能,还需要设计相应的软件系统来构成一个完整的运算系统。计算机实现,是计算机组成的物理实现, 就是把完成逻辑设计的计算机组成方案转换为真实的计算机。计算机体系结构、计算机组成和计算机实现是三个不同的概念,各自有不同的含义,但是又有着密切的联系,而且随着时间和技术的进步,这些含意也会有所改变。在某些情况下,有时也无须特意地去区分计算机体系结构和计算机组成的不同含义。 (P47-P48) 3.根据指令系统结构划分,现代计算机包含哪两种主要的体系结构? 答:根据指令系统结构划分,现代计算机主要包含:CISC和RISC两种结构。(P55) 4.简述RISC技术的特点? 答:从指令系统结构上看,RISC 体系结构一般具有如下特点: (1) 精简指令系统。可以通过对过去大量的机器语言程序进行指令使用频度的统计,来选取其中常用的基本指令,并根据对操作系统、高级语言和应用环境等的支持增设一些最常用的指令; (2) 减少指令系统可采用的寻址方式种类,一般限制在2或3种; (3) 在指令的功能、格式和编码设计上尽可能地简化和规整,让所有指令尽可能等长; (4) 单机器周期指令,即大多数的指令都可以在一个机器周期内完成,并且允许处理器在同一时间内执行一系列的指令。 (P57-58) 5.有人认为,RISC技术将全面替代CISC,这种观点是否正确,说明理由?答:不正确。与CISC 架构相比较,RISC计算机具备结构简单、易于设计和程序执行效率高的特点,但并不能认为RISC 架构就可以取代CISC 架构。事实上,RISC 和CISC 各有优势,CISC计算机功能丰富,指令执行更加灵活,这些时RISC计算机无法比拟的,当今时代,两者正在逐步融合,成为CPU设计的新趋势。 (P55-59)

计算机组成与系统结构课后答案全

第 1 章习题答案 5.若有两个基准测试程序P1和P2在机器M1和M2上运行,假定M1和M2的价格分别是5000元和8000元,下表给出了P1和P2在M1和M2上所花的时间和指令条数。 请回答下列问题: (1)对于P1,哪台机器的速度快?快多少?对于P2呢? (2)在M1上执行P1和P2的速度分别是多少MIPS?在M2上的执行速度又各是多少?从执行速度来看,对于P2,哪台机器的速度快?快多少? (3)假定M1和M2的时钟频率各是800MHz和,则在M1和M2上执行P1时的平均时钟周期数CPI各是多少? (4)如果某个用户需要大量使用程序P1,并且该用户主要关心系统的响应时间而不是吞吐率,那么,该用户需要大批购进机器时,应该选择M1还是M2?为什么?(提示:从性价比上考虑)(5)如果另一个用户也需要购进大批机器,但该用户使用P1和P2一样多,主要关心的也是响应时间,那么,应该选择M1还是M2?为什么? 参考答案: (1)对于P1,M2比M1快一倍;对于P2,M1比M2快一倍。 (2)对于M1,P1的速度为:200M/10=20MIPS;P2为300k/=100MIPS。 对于M2,P1的速度为:150M/5=30MIPS;P2为420k/=70MIPS。 从执行速度来看,对于P2,因为100/70=倍,所以M1比M2快倍。 (3)在M1上执行P1时的平均时钟周期数CPI为:10×800M/(200×106)=40。 在M2上执行P1时的平均时钟周期数CPI为:5×(150×106)=40。 (4)考虑运行P1时M1和M2的性价比,因为该用户主要关心系统的响应时间,所以性价比中的性能应考虑执行时间,其性能为执行时间的倒数。故性价比R为: R=1/(执行时间×价格) R越大说明性价比越高,也即,“执行时间×价格”的值越小,则性价比越高。 因为10×5000 > 5×8000,所以,M2的性价比高。应选择M2。 (5)P1和P2需要同等考虑,性能有多种方式:执行时间总和、算术平均、几何平均。 若用算术平均方式,则:因为(10+/2×5000 > (5+/2×8000,所以M2的性价比高,应选择M2。 若用几何平均方式,则:因为sqrt(10× ×5000 < sqrt(5××8000,所以M1的性价比高,应选择M1。 6.若机器M1和M2具有相同的指令集,其时钟频率分别为1GHz和。在指令集中有五种不同类型的指令 请回答下列问题: (1)M1和M2的峰值MIPS各是多少? (2)假定某程序P的指令序列中,五类指令具有完全相同的指令条数,则程序P在M1和M2上运行时,哪台机器更快?快多少?在M1和M2上执行程序P时的平均时钟周期数CPI各是多少?

《计算机系统结构》课程教学大纲

《计算机系统结构》课程教学大纲 一、课程基本信息 课程代码: 课程名称:计算机系统结构 英文名称:Computer Architecture 课程类别: 专业课 学时:72(其中实验18学时) 学分: 3.5 适用对象: 计算机科学与技术、网络工程专业 考核方式:考试(其中平时成绩占30%,期末考试成绩占70%) 先修课程:计算机组成原理、操作系统 二、课程简介 本课程是计算机专业一门重要的专业基础课,对于培养学生的抽象思维能力和自顶向下、系统地分析和解决问题的能力有非常重要的作用。其目标是使学生掌握计算机系统结构的基本概念、基本原理、基本结构、基本设计和分析方法,并对计算机系统结构的发展历史和现状有所了解。通过学习本课程,能把在“计算机组成原理”等课程中所学的软、硬件知识有机地结合起来,从而建立起计算机系统的完整概念。 This course is a computer professional important foundation for the professional class, for training students in abstract thinking, and top-down, System analysis and the ability to solve problems is a very important role. The goal is to enable students to master computer system structure the basic concepts, basic principles and basic structure, basic design and analysis methods and computer system architecture and the history of the development of an understanding of the status quo. Through the study of this course, can in "Principles of Computer Organization", y the school curriculum of the software and hardware knowledge combined organic, Computer systems in order to establish the integrity of the concept. 三、课程性质与教学目的 《计算机系统结构》的教学对象为计算机相关专业的高年级本科生专业技术基础课程,目的是介绍计算机体系结构的概念、技术和最新动态,着重介绍软,硬件功能分配以及如何最佳、最合理地实现软、硬件功能分配。要求了解基本概念、基本原理、基本结构和基本分析方法。使学生对计算机系统结构、组成和实现有一个整体掌握。 四、教学内容及要求 第一单元计算机系统结构的基本概念

第2章计算机体系结构习题及答案解析

第二章习题(P69-70) 一、复习题 1.简述冯?诺依曼原理,冯?诺依曼结构计算机包含哪几部分部件,其结构以何部件为中心? 答:冯?诺依曼理论的要点包括:指令像数据那样存放在存储器中,并可以像数据那样进行处理;指令格式使用二进制机器码表示;用程序存储控制方式工作。这3条合称冯?诺依曼原理 冯?诺依曼计算机由五大部分组成:运算器、控制器、存储器、输入设备、输出设备,整个结构一般以运算器为中心,也可以以控制器为中心。 (P51-P54) 2.简述计算机体系结构与组成、实现之间的关系。 答:计算机体系结构通常是指程序设计人员所见到的计算机系统的属性,是硬件子系统的结构概念及其功能特性。计算机组成(computer organization)是依据计算机体系结构确定并且分配了硬件系统的概念结构和功能特性的基础上,设计计算机各部件的具体组成,它们之间的连接关系,实现机器指令级的各种功能和特性。同时,为实现指令的控制功能,还需要设计相应的软件系统来构成一个完整的运算系统。计算机实现,是计算机组成的物理实现, 就是把完成逻辑设计的计算机组成方案转换为真实的计算机。计算机体系结构、计算机组成和计算机实现是三个不同的概念,各自有不同的含义,但是又有着密切的联系,而且随着时间和技术的进步,这些含意也会有所改变。在某些情况下,有时也无须特意地去区分计算机体系结构和计算机组成的不同含义。 (P47-P48) 3.根据指令系统结构划分,现代计算机包含哪两种主要的体系结构? 答:根据指令系统结构划分,现代计算机主要包含:CISC和RISC两种结构。 (P55) 4.简述RISC技术的特点? 答:从指令系统结构上看,RISC 体系结构一般具有如下特点: (1) 精简指令系统。可以通过对过去大量的机器语言程序进行指令使用频度的统计,来选取其中常用的基本指令,并根据对操作系统、高级语言和应用环境等的支持增设一些最常用的指令; (2) 减少指令系统可采用的寻址方式种类,一般限制在2或3种; (3) 在指令的功能、格式和编码设计上尽可能地简化和规整,让所有指令尽可能等长; (4) 单机器周期指令,即大多数的指令都可以在一个机器周期内完成,并且允许处理器在同一时间内执行一系列的指令。 (P57-58) 5.有人认为,RISC技术将全面替代CISC,这种观点是否正确,说明理由? 答:不正确。与CISC 架构相比较,RISC计算机具备结构简单、易于设计和程序执行效率高的特点,但并不能认为RISC 架构就可以取代CISC 架构。事实上,RISC 和CISC 各有优势,CISC计算机功能丰富,指令执行更加灵活,这些时RISC计算机无法比拟的,当今时代,两者正在逐步融合,成为CPU设计的新趋势。 (P55-59) 6.什么是流水线技术? 答:流水线技术,指的是允许一个机器周期内的计算机各处理步骤重叠进行。特别是,当执行一条指令时,可以读取下一条指令,也就意味着,在任何一个时刻可以有不止一条指令在“流水线”上,每条指令处在不同的执行阶段。这样,即便读取和执行每条指令的时间保持不变,而计算机的总的吞吐量提高了。 (P60-62) 7.多处理器结构包含哪几种主要的体系结构,分别有什么特点? 答:多处理器系统:主要通过资源共享,让共享输入/输出子系统、数据库资源及共享或不共享存储的一组处理机在统一的操作系统全盘控制下,实现软件和硬件各级上相互作用,达到时间和空间上的异步并行。

计算机系统结构第二章作业及答案

2.2 区别不同指令集结构的主要因素是什么?根据这个主要因素可将指令集结构分为哪3类? 答:区别不同指令集结构的主要因素是CPU中用来存储操作数的存储单元。据此可将指令系统结构分为堆栈结构、累加器结构和通用寄存器结构。 2.6 简述CISC指令集结构功能设计的主要目标。从当前计算机技术观点来看,CISC指令集结构的计算机有什么缺点? 答:主要目标是增强指令功能,把越来越多的功能交由硬件来实现,并且指令的数量也是越来越多。 缺点:(1) CISC结构的指令集中,各种指令的使用频率相差悬殊。(2)CISC结构指令的复杂性带来了计算机体系结构的复杂性,这不仅增加了研制时间和成本,而且还容易造成设计错误。(3)CISC结构指令集的复杂性给VLSI设计增加了很大负担,不利于单片集成。(4)CISC结构的指令集中,许多复杂指令需要很复杂的操作,因而运行速度慢。 (5) 在CISC结构的指令集中,由于各条指令的功能不均衡性,不利于采用先进的计算机体系结构技术(如流水技术)来提高系统的性能。 2.7 简述RISC指令集结构的设计原则。 答(1)选取使用频率最高的指令,并补充一些最有用的指令;(2)每条指令的功能应尽可能简单,并在一个机器周期内完成;(3)所有指令长度均相同;(4)只有Load和Store 操作指令才访问存储器,其它指令操作均在寄存器之间进行; (5) 以简单有效的方式支持高级语言。 2.8 指令中表示操作数类型的方法有哪几种? 答:操作数类型有两种表示方法:(1)操作数的类型由操作码的编码指定,这是最常见的一种方法;(2)数据可以附上由硬件解释的标记,由这些标记指定操作数的类型,从而选择适当的运算。 2.9 表示寻址方式的主要方法有哪些?简述这些方法的优缺点。 答:表示寻址方式有两种常用的方法:(1)将寻址方式编于操作码中,由操作码在描述指令的同时也描述了相应的寻址方式。这种方式译码快,但操作码和寻址方式的结合不仅增加了指令的条数,导致了指令的多样性,而且增加了CPU对指令译码的难度。(2)为每个操作数设置一个地址描述符,由该地址描述符表示相应操作数的寻址方式。这种方式译码较慢,但操作码和寻址独立,易于指令扩展。 2.10 通常有哪几种指令格式?请简述其适用范围。 答:(1) 变长编码格式。如果系统结构设计者感兴趣的是程序的目标代码大小,而不是性能,就可以采用变长编码格式。(2)固定长度编码格式。如果感兴趣的是性能,而不是程序的目标代码大小,则可以选择固定长度编码格式。 (3) 混合型编码格式。需要兼顾降低目标代码长度和降低译码复杂度时,可以采用混合型编码格式。

计算机组织与体系结构课后习题答案

体系结构课后习题答案 第二章 1,设A,B,C 的内存地址分别是A[i],B[i],C[i],i 从1到1000 LOAD M (A[i]) ADD M (B[i]) STOR M(C[i]) 2, a LOAD M (2) 00000001|000000000010 b 一次 3,在IAS 机上读取一个值的过程如下: IR 中操作码→控制总线,存储器地址X →MAR,MAR 中值→地址总线 X 中数据→数据总线,数总线地址→MBR 写入一个值: IR 中操作码→控制总线,存储器地址X →MAR,MAR 值→地址总线 MBR 值→数据总线,数据总线值→X 4,程序代码:LOAD M(0FA) ADD M(0FB) LOAD M(0FA) JUMP +M(08D,0:19) LOAD –M(0FA) ADD M(0FB) 程序代码意图:首先装入0FA 值,然后与0FB 相加,再装入0FA 值,若AC 中值非负,取0FA 左指令再装入-(0FA )将0FB 的值相加后装入AC 中 5,如图所示 AC MQ 算术-逻辑电路 40 40 MBR I/O 设备 IBR IR 控制电路 PC MAR 主存储器M 40 20 8 40 12 12 8 40 12

6,便于同时存取两个连续地址序号的存储单元,提高访问速度 7,(1)存储器数据传输率快了32倍 (2)数据通道最大数目增大了一倍 (3)单通道最大数据传输速率提升了5倍。各种技术的使用,提升了整机的性能。 8,回答正确,但是不适合用户理解。MAC机的时钟速率是1.2ghz,P4为2.4ghz,而时钟速率在一定程度上反映了计算机的执行速度,所以P4的机器可能是目前最符合用户要求的机型。 9,在这种表示方法中,10个管表示了十个数字,而使用二进制可以表示错误!未找到引用源。个数字 10,(画图)略 11,MIPS=错误!未找到引用源。*错误!未找到引用源。 12,∵MIPS=错误!未找到引用源。*错误!未找到引用源。 ∴CPI(VAX)=5,CPI(IBM)=1.39 IC≈错误!未找到引用源。 13,CPI=(1+2+2+2)/错误!未找到引用源。=7*错误!未找到引用源。 MIPS=错误!未找到引用源。*错误!未找到引用源。=错误!未找到引用源。*错误!未找到引用源。=5.71*错误!未找到引用源。 T=7*错误!未找到引用源。*错误!未找到引用源。=1.75*错误!未找到引用源。14,a:算术平均法适用于较多程序,抖动较大 调和平均发适用于较少程序,抖动较小 b:计算机A Ra=1/4×(100+0.1+0.2+1)≈25 MIPS Rb=4/(1/100+10+5+1)=0.25 MIPS 计算机B Ra=1/4×(10+0.1++1+1/8)=3.06 Rb=4/(0.1+1+10+8)=0.21 计算机C Ra=1/4×(5+5+2+1)=3.25 Rb=4/(0.2+0.2+0.5+1)=2.1 故C>A>B 第三章 1 步骤一 存储器CPU寄存器 1 0011 1 pc 2 5940 ac 3 26 0011 ir 5 0003 6 步骤二 存储器CPU寄存器 1 0011 2 pc 2 5940 000 3 ac 3 26 0011 ir

相关主题
文本预览
相关文档 最新文档