当前位置:文档之家› M序列发生器的设计与实现毕业论文

M序列发生器的设计与实现毕业论文

1 引言

本文的主要内容是移位寄存器74LS194的研究和m序列码发生器的产生原理以及基于MAX+PLUS II、Protel 99SE软件的实现。m序列码发生器的产生原理和实现是CDMA通信中的核心,具有重要的理论价值和广阔的应用前景。文中基于对74LS194移位寄存器的研究,对伪随机序列的特性及对m序列发生器的结构进行了分析,运用MAX+PLUS II的模拟仿真和Protel 99SE进行印刷电路板设计,验证其正确性,最终产生m序列码。

1.1 研究此课题的目的

伪随机序列系列具有良好的随机性和接近于白噪声的相关函数,并且有预先的可确定性和可重复性。这些特性使得伪随机序列得到了广泛的应用。

1.2 伪随机序列的应用和意义

1.2.1在通信加密中的应用

m序列自相关性较好,容易产生和复制,而且具有伪随机性,利用m序列加密数字信号使加密后的信号在携带原始信息的同时具有伪噪声的特点,以达到在信号传输的过程中隐藏信息的目的;在信号接收端,再次利用m序列加以解密,恢复出原始信号[1]。

1.2.2 在雷达信号设计中的应用

近年兴起的扩展频谱雷达所采用的信号是已调制的具有类似噪声性质的伪随机序列,它具有很高的距离分辨力和速度分辨力。这种雷达的接收机采用相关解调的方式工作,能够在低信噪比的条件下工作,同时具有很强的抗干扰能力。该型雷达实质上是一种连续波雷达,具有低截获概率性,是一种体制新、性能高、适应现代高技术战争需要的雷达。采用伪随机序列作为发射信号的雷达系统具有许多突出的优点。首先,它是一种连续波雷达,可以较好地利用发射机的功率。其次,它在一定的信噪比时,能够达到很好的测量精度,保证测量的单值性,比单脉冲雷达具有更高的距离分辨力和速度分辨力。最后,它具有较强的抗干扰能

力,敌方要干扰这种宽带雷达信号,将比干扰普通的雷达信号困难得多[2]。

1.2.3 在通信系统中的应用

伪随机序列是一种貌似随机,实际上是有规律的周期性二进制序列,具有类似噪声序列的性质,在CDMA中,地址码都是从伪随机序列中选取的,在CDMA中使用一种最易实现的伪随机序列:m序列,利用m序列不同相位来区分不同用户;为了数据安全,在CDMA的寻呼信道和正向业务信道中使用了数据掩码(即数据扰乱)技术,其方法是用长度为2的42次方减1的m序列用于对业务信道进行扰码(注意不是扩频),它在分组交织器输出的调制字符上进行,通过交织器输出字符与长码PN码片的二进制模工相加而完成。

1.3 伪随机序列研究现状

迄今为止,人们获得的伪随机序列仍主要是PC(相控)序列,移位寄存器序列(m和M序列),Gold序列,GMW序列,级联GMW序列,Kasami序列,Bent 序列,No序列。

其中m序列是最有名和最简单的,也是研究的最透彻的序列。m序列还是研究其它序列的基础。它序列平衡,有最好的自相关特性,但互相关满足一定条件的族序列数很少(对于本原多项式的阶数小于等于13的m序列,互为优选对的序列数不多于6),且线性复杂度很小。

1.4 研究内容

首先研究生成序列的反馈移位寄存器、反馈逻辑函数。主要研究它们的生成、随机特性以及相关特性。最后在理论证明的基础上应用Quartus II仿真验证它们的正确性并仿真作出m序列相关特性图形,用PROTEL 99SE绘制原理图及PCB 图。

2 移位寄存器

2.1 移位寄存器概述

移位寄存器是数字系统中的重要逻辑部件,具有移位和寄存的功能,简称移存器。移存器从结构上看,是将若干触发器级联起来。按数据输入方式来分,有串行和并行两种;而移位方向,则有左移和右移;按数据输出也有串出和并出之分。商品化通用寄存器可使数据串并出入,并可左右移位,应用灵活方便。为了准确分析移位,正确使用移存器,灵活运用移存器,这一节将做进一步的讨论。

在数字系统中,常常要将寄存器中的数码按时钟的节拍向左移或右移一位或多位,能实现这种移位功能的寄存就称为移位寄存器。顾名思义,移位寄存器具有数码的寄存和移位两个功能。若在时钟脉冲的作用下,寄存器的数码向左移动一位,则称左移;若数码依次向右移动一位,成为右移。

移位寄存器的每一位也是由触发器组成的,但由于它需要有移位功能,所以每位触发器的输出端与下一位触发器的数据输入端相连接,所有触发器公用一个时钟脉冲,使它们同步工作。但移位寄存器的次态受移位功能的限制,因为寄存器中的触发器只能存储1位二进制数,0或1,所以移位寄存器的次态只能有两种情况。例如,原态为1011,当它右移一位时,若移进的为1,则次态为1101;若移进的为0,次态则为0101[3]。

2.2 移位寄存器原理

移位寄存器可分为单向移位寄存器(单向左移,单向右移)双位移位存寄器寄存器。

2.2.1 4位右移寄存器

原理:单向移位寄存器由4个维持阻塞的D触发器组成。4个D触发器共用一个时钟脉冲信号,因此为同步时序逻辑电路。数码由最左边的FF0的DI端串行输入。

由于D触发器的驱动方程为:Q n+1=D

故D0=D I,D1=Q n0,D2=Q n1,D3=Q n2

时钟方程:CP 0=CP 1=CP 2=CP 3=CP

每一个触发器的输出→其右边触发器的输入,则对应每一个CP 上升沿,数据右移一位。

图2.1 移位寄存器的右移

图 2.2 右移寄存器的时序图

表2.1 4位右移寄存器的状态表

输 入

现 态

次 态

注 释

D I CP Q 0n

Q 1n

Q 2

n

Q 3n

Q 0n+1 Q 1n+1Q 2n+1 Q 3

n+1

1 0 0 0 0 1 0 0 0

连续输入4个

1

1 1 0 0 0 1 1 0 0 1 1 1 0 0 1 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1

连续输入4个

0 0 1 1 1 0 0 1 1 0 0 0 1 1 0 0 0 1 0

0 0 0 1 0 0 0 0

2.2.2 4位左移寄存器

原理:数码由最右边的FF3的 端串行输入。每一个触发器的输出→其左边触发器的输入,则对应每一个CP 上升沿,数据左移一位。 时钟方程:CP 0=CP 1=CP 2=CP 3

=CP

图2.3 移位寄存器的左移

说明:⑴ 移位寄存器(单项左移,右移)有相同的存储单元组成(D 触发器);

⑵ 移位寄存器的位数由触发器的个数决定;

⑶ 各触发器共用一个时钟信号,属于同步时序电路[4]。

2.3 74LS194概述 2.

3.1 概念

74LS194是一种典型的中规模集成移位寄存器。它有4个RS 触发器和一些门电路所构成。图2.4为它的管脚图。

1 2 3 4 5 6

7 8

9

10 11 12 13 14 15 16 CC40194(74LS194)

VDD Q0 Q1 Q2 Q3 CP S1 S0

SR D2 D1 D0 D3 SL VSS

CR

图2.4 74LS194的管脚图

74LS194(4位双向移位寄存器)是一种功能很强的通用寄存器,它的具体逻

辑功能由管脚9和管脚10的S 0,S 1来确定。它具有并行输入、并行输出、左移

和右移及保持等五个功能[5]。 2.3.2管脚及功能介绍

74LS194共有16个管脚,其中D 0、D 1、D 2、D 3为并行数据输入端;Q 0、Q 1、Q 2、Q 3为4个触发器输出端;S R 为右移串行输入端;S L 为左移串行输入端;S 0、S 1为操作模式控制端;C R 为直接无条件清零端;CP 为时钟脉冲输入端。

当S 0S 1=00,为状态保持;S 0S 1=01为数据右移;S 0S 1=10为数据左移;S 0S 1=11为并行送数。此外, 清除功能共5个功能。这些功能的实现是由逻辑图中的门电路来保证的[6]

表 2.2 74LS194 功能表

2.4

74L

S194移位

寄存器的

应用

移位寄存器应用很广,可构成移位寄存器型计数器:顺序脉冲发生器;可用数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等[7]

。 (1) 在数据传送体系转换中的应用。数字系统中的数据传送体系有两种,包括

串行传送体系和并行传送体系。

串行传送体系:即每一节拍只传送一位信息,N 位数据需要N 个节拍才能传送出去;

并行传送体系:一个节拍同时传送N 位数据

功能 输 入 输 出

CP C R S 1 S 0 S R S L D 0 D 1

D 2 D 3 Q 0 Q 1 Q 2 Q 3 清零 × 0 × × × × × × × × 0 0 0 0 送数 ↑ 1 1 1 ×

×

a b c d a

b

c d 右移 ↑ 1 0 1 D SR × ×

×

×

× D SR Q 0 Q 1 Q 2 左移 ↑ 1 1 0 × D SL × × × × Q 1 Q 2

Q 3

D SL

保持 ↑ 1 0 0 × × × × × × Q n 0 Q 1n Q 2n Q 3n 保持

↓ 1

×

×

×

×

×

×

×

×

Q 0n

Q 1n Q 2n Q 3n

在数字系统中,两种传送体系均存在,如计算机主机对信息的处理和加工 是并行传送数据的,而信息的传播是串行传送数据的,因此存在两种数据传送体 系的转换

串行∕并行转换器 :

在数字系统中,信息的传播通常是串行的,而处理和加工往往是并行的,因此经常要进行输入、输出的串、并转换。

串行∕并行转换器是指串行输入的数码,经转换电路之后变换成并行输出,用二片74LS194四位双向移位句寄存器组成的七位串行∕并行数据转。转换电路如图2.5所示,其转换过程的状态变化如表2.3所示。

具体的转换过程是:串行数据D 6…D 0从S R 端输入(低位D 0先入),并行数据从Q 1~Q 7输出,表示转换结束的标志码0加在第一片的D 0端,其他并行输入端接1。清0启动后,Q 8=0,因此S 1S 0=01,第一个CP 是74LS194完成预置操作。

例如,并行输入数据0111111送入Q 1~Q 8,由于此时Q 8=1,S 1S 0=01,故以后的CP 均实现右移操作,经过七次右移后,七位串行码全部移入移存器。此时Q 1~Q 7 =D 6~D 0,且转换结束标志码已到达Q 8,表示转换结束,此刻可读出并行数据。由于Q 8=0,S 1S 0再次等于11,因此第9个CP 使移位寄存器再次预置数,并重复上述过程。

图2.5 七位串入-并处转换电路图

Q 0Q 1Q 2

Q 3S 1S 0

C r

D 0

D 1

D 2

D 3S R Q 0Q 1Q 2

Q 3S 1S 0

C r

D 0

D 1

D 2

D 3S R Q 4

Q 3

Q 2

Q 1

1

1

Q 5

Q 6

Q 7

Q 8

转换完成信号

1

串行输入D 6~D 0

清0

CP

74LS19474LS1947-20

1并行输出

CP

CP

表2.3 七位串入-并处状态表

① 七位并入—串出转换电路

图2.6为它的转换电路图,其转换过程的状态变化如表2.4所示 具体的转换过程是:

工作时S T = 0首先使启动信号,则两片74LS194的S 1S 0=11,第一个CP 来到后执行送数操作,Q 1~Q 7=0d 1d 2d 3d 4d 5d 6d 7,且2门输出位1。启动S T =1,1门输出为0,S 1S 0=01,移存器执行右移操作,经过七次右移后Q 0Q 1Q 2~Q 7=11111110,七位并入代码d 1~d 7全部从Q 7串行输出。此时由于Q 1~Q 6全为1,1门输出为0(表示转换结束),使S 1S 0=11,第九个CP 后,移存器又重新置数,并重复上述过程。

图2.6 七位并入-串出转换电路

Q 0Q 1Q 2Q 3

S 1

S 0D 0D 1D 2D 3S R Q 0

Q 1

Q 2

Q 3S 1S 0

D

D 1D 2D 3S R Q 01

&Q 4

Q 5

Q 6

Q 7

1

CP

74LS194

74LS194d 3

d 2

d 1

d 0

1d 4

d 5

d 6

d 7&

Q 1Q 2Q 3串行输出

转换完

成信号

S T

CP

CP G 2

G 1

表2.4 七位并入-串出状态表

(2)组成移位型计数器。

所谓移位型计数器,就是以移位寄存器为主体构成的同步计数器,它的状态迁移关系除第一级外必须具有移位功能,而第一即可根据需要移进“0”

或者“1”。所以,这类计数器的设计,只需对第一级进行设计,而其他各级维持移位功能。

3. m序列发生器

3.1 概述

随着科学技术的不断发展,伪随机码越来越受到人们的重视,被广泛应用于导弹,卫星,飞船轨道测量和跟踪,雷达,导航,移动通信,保密通信和通信系统性能的测量以及数字信息处理系统中。m序列是伪随机码中,带线性反馈移位寄存器的周期最长的一种最基本的序列[8]。

伪随机码,又称伪随机序列,是一种可以预先确定并可以重复地产生和复制,又具有随机统计特性的二进制码序列。在现代工程实践中,伪随机信号在移动通信,导航,雷达和保密通信,通信系统性能的测量等领域中有着广泛的应用。例如,在连续波雷达中可用作测距信号,在遥控系统中可用作遥控信号,在多址通信中可用作地址信号,在数字通信中可用作群同步信号,还可用作噪声源以及在保密通信中的加密作用等。伪随机发生器在测距,通信等领域的应用日益受到人们重视[9]。

伪随机信号与随机信号的区别在于:随机信号是不可预测的,它在将来时刻的取值只能从统计意义上去描述;伪随机序列实质上不是随机的,而是收发双方都知道的确定性周期信号。之所以称其为伪随机序列,是因为它表现出白噪声采样序列的统计特性,在不知其生成方法的侦听者看来像真的随机序列一样。m序列作为一种基本的序列,具有很强的系统性,规律性和相关性[10]。

m序列的理论发展比较成熟,实现比较方便,利用n级移位寄存器可以产生

2n-1

的m序列。目前,3G及beyond 3G仍是通信领域的研究热点,其基本特征之

一,就是码分多址,其中的码就是PN码,PN码的选择直接影响到CDMA系统的容量,抗干扰能力,接入和切换速度等性能。因此,PN码技术作为3G及beyond 3G移动通信系统的核心技术之一,以得到广泛的关注,研究和应用。在目前的CDMA系统IS-95中,就是采用基本的PN序列——m序列座位地址码,利用它的不同相位来区分不同的用户[11]。

m序列码发生器是一种反馈移位型结构的电路,它由n位移位寄存器加异或反馈网络组成,其序列长度M=2n-1,只有一个多余状态即全0状态,所以称为

最大线性序列码发生器。由于其结构已定型,且反馈函数和连接形式都有一定的规律,因此利用查表的方式就可以设计出m序列码。

通过抛硬币的方法可以得到一个随机序列,它具有两个方面的特点:一是预先不可确定、不可重复实现。即在实验前无法预知序列是怎样的,而且在所有的序列中不可能有两个是完全一致的。另一方面所有序列都具有某些共同的随机特性,对二元序列Golomb总结了三条随机性假设:

R1 若序列的周期L为偶数,则0的个数与1的个数相等;若L为奇数,则0的个数比1的个数多1或少1。

R2 长为1的游程占1/2,且0游程和1游程的个数相等或至多差一个。

R3 序列的异相自相关函数为一个常数,即序列为二值自相关序列。

能否产生真正的随机序列一直都处在激烈的争论中,但可以肯定的是随机序列的产生、复制和控制在实际中都是难以实现的。如果一个序列,一方面它的结构是可以预先确定的,并且可以重复的产生和复制;另一方面又具有某种随机特性(R1--R3),便称这种序列为伪随机序列.简单的讲,伪随机序列就是具有某种随机特性的确定序列[12]。

3.2伪随机序列理论的发展史

伪随机序列的理论与应用研究大体上可以分成三个阶段:(1)纯粹理论研究阶段 (1948年以前);(2)m序列研究的黄金阶段(1948-1969); (3)非线性生成器的研究阶段 (1969- )[13]。

1948年以前,学者们研究伪随机序列的理论仅仅是因为其优美的数学结构。最早的研究可以追溯到1894年,作为一个组合问题来研究所谓的De Bruijn序列;上世纪30年代,环上的线性递归序列则成为人们的研究重点[14]。

1948年Shannon信息论诞生后,这种情况得到了改变。伪随机序列己经被广泛的应用在通信以及密码学等重要的技术领域。Shannon证明了“一次一密”是无条件安全的,无条件保密的密码体制要求进行保密通信的密钥量至少与明文量一样大。因此在此后的一段时间内,学者们一直致力于研究具有足够长周期的伪随机序列。如何产生这样的序列是20世纪50年代早期的研究热点。线性反馈移位寄存器 (LFSR)序列是这个时期研究最多的,因为一个n级LFSR可以产生周期为

组合反馈网络

Z

?的最大长度序列,而且具有满足Golomb随机性假设的随机特性,通常称为m Q Q Q

S R(S n位移位寄存器

CP

序列。这段时期的研究奠定了LFSR序列的基本理论和一些经典结论[15]。

但是,在1969年Massey发表了“移位寄存器综合与BCH译码”一文,引发了序列研究方向的根本性变革,从此伪随机序列的研究进入了构造非线性序列生成器的阶段。Berlekamp-Massey算法(简称B-M算法)指出:如果序列的线性复杂度为n,则只需要2n个连续比特就可以恢复出全部的序列。从这个结论可以看出m序列是一种“极差”的序列,它的线性复杂度太小,因而不能够直接用来做流密码系统的密钥流序列。从这里还可以看到仅仅靠Golomb的三个随机性假设来评测序列是不够的,还需要其它的一些指标。此后直到今天,密码学界的学者们一直在努力寻找构造“好”的伪随机序列的方法[16]。

3.3 伪随机序列的构造方法

就现有的文献,可以把构造伪随机序列的方法分成两大类:一类是基于数学的理论构造伪随机序列;另一类是基于LFSR构造伪随机序列。两种构造方法各有优缺点,前者在理论上容易分析序列的随机性质,但往往不容易实现或者实现的代价比较高;而后者则恰恰相反,在工程上很容易实现,成本较低,但有的情况下不容易分析其随机性质。

基于数学理论构造伪随机序列又可以分为两类:基于数论的构造和基于有限域的构造。前者利用的数学工具主要是二次剩余理论和割圆理论,像Legendre 序列、Jacobi序列、m序列、差集序列和割圆序列等就属于此类构造;后者利用的数学工具主要是迹函数,像Bent序列、GMW序列和椭圆曲线序列等为该类构造的代表[17]。

基于 LFSR的伪随机序列生成器有很多,总体上可以分为两大类:一类是用一个n元布尔函数作用于n个输入比特,布尔函数的输出作为密钥流序列;另一类是用一个LFSR控制另一个LFSR。前者包含两种生成器,即熟知的非线性组合生成器和非线性滤波生成器。由于m序列的线性复杂度太小,不能直接用作密钥流序列,因此通常采用将m序列作驱动序列,然后用一个布尔函数作用于这些驱动序列的方法来提高序列的线性复杂度。非线性组合生成器由n个LFSR和一个非线性组合器组成;非线性滤波生成器由一个LFSR和一个前馈逻辑组成。第二类生成器也包

含两种控制模型,钟控生成器和缩减生成器。这两种生成器的原理都是用一个控制序列对另一个基序列做不规则采样。钟控生成器是在基序列中插入新的符号,其输出序列指数幂的依赖于产生它的生成器的输入参数;而缩减生成器包括自缩减生成器则是在基序列中删除符号,这种构造结构简单易于用硬件实现[18]。

3.4 m序列信号发生器原理

序列信号发生器是能够循环产生一组或多组序列信号的时序电路,它可以用以为寄存器或计数器构成。序列信号的种类很多,按照序列循环长度M和触发器数目n的关系一般可分为三种:

(1)最大循环长度序列码,M=2n。

(2)最大线性序列码(m序列码),M=2n-1。

任意循环长度序列码,M<2n[19]。

3.5 m序列的基本特性

归纳起来,m序列的基本特性有:

(1)由n级移位寄存器产生的m序列,其周期为N=2n-1

(2)在每个周期中,“1”码出现2n-1

次,“0”码出现2

n-1

-1次,即0,1出

现的概率几乎相等。

(3) m序列和其移位后的序列逐位模二相加,所得的序列还是m序列,只是相移不同而已。例如1110100与向右移三位的1001110,模二相加

后的结果是0111010,相当于原序列向右移一位后的序列,仍是m序

列。

(4) m序列发生器中移位寄存器的各种状态,除全0状态外,其它状态只在序列中出现一次。如7位m序列中顺序出现的状态为:111,110,

101,010,100,001和011,然后再回到初始状态。

(5)分布无规律,具有与白噪声相似的伪随机性[20]。

3.6 m序列结构图

m序列是由多级移位寄存器的基础上,加上异或反馈电路构成的。在二进制

移位寄存器发生器中,若为n级,则能产生的最大长度的码序列为2n-1位。现在来看看如何由多级移位寄存器经线性反馈产生周期性的m序列的。如图3.1所示:

图3.1 简单最长线性序列信号发生器结构图

这是一个简单最长线性序列信号发生器的结构图,D1,D2,D3为三级移位寄存器。

3.7 m序列产生的基本原理

移位寄存器的作用为在时钟脉冲驱动下,能将暂存的“0”或“ 1”逐级向右。模二加法器的作用为图3-2所示,即0+0=0,0+1=1,1+0=1,1+1=0。图3.1

中D

2,D

3

输出的模二和反馈为D

1

的输入。在图3.3中示出,在时钟脉冲的驱动下,

三级移位存储器的暂存数据按列改变。D3的变化即输出序列。如移位寄存器各级的初始状态为111时,输出的序列为1110010。在输出周期为23-1=7时,D1,D2,D3又回到111状态。在时钟脉冲的驱动下,输出序列作周期性的重复。因7位为其所能产生的最长码序列,1110010则为m序列。

这一个简单的例子说明:m序列的最大长度取决于移位寄存器的级数,而码的结构取决于反馈抽头的位置和数量。不同抽头组合可以产生不同长度和不同结构的码序列,有的抽头组合并不能产生最长周期的序列。对于何种抽头能产生何种长度和结构的码序列,已经进行了大量的研究工作。现在已经得到3—100级m序列发生器的连接图和所产生的m序列结构,如表3.1所示,例如,4级移位寄存器产生的15位m序列为111101*********。同理,我们不难根据m序列反馈函数表得到31,63,127,255,511,1023…位的m序列。

图3.2 模二加法

表3.1 m序列反馈函数表

由上面的简单7位m序列发生器的例子,我们可以得出n级m序列发生器的示意图及反馈函数是如何产生的.如图3.4所示:

图3.3线性反馈移位寄存器序列的产生示意图

这个线性序列信号发生器是由n级移位寄存器,加上异或反馈网络构成的。其中

C0,C1…Cn为反馈系数,这些特征系数的取值为“0”或“1”,由异或网络组合逻辑产生的输出an,如图3.5所示:

图3.5

上式可根据已得出的m序列反馈函数表得出。

值得注意的是,与m序列码相似的是M序列码。

如果反馈逻辑中的运算含有乘法运算或其它逻辑运算,则成为非线性反馈逻辑。由非线性反馈逻辑和移位寄存器构成的序列发生器所能产生最大长度序列就叫做最大长度非线性移位寄存器序列,或叫做M序列,M序列的最大长度为2n。在实际工程应用中,m序列比较成熟,但M序列与m序列相比较,最大的优点就是数量大,即同样级数N的移位寄存器能够产生平移不等价M序列的总数比m 序列大的多,且随N的增大而迅速增加。

3.8 m序列的产生

对前面两节内容的介绍,我们已经对m序列的基本特性和相关原理有了初步的了解,本节主要阐述m序列是怎么产生的。

m序列是数字电路在通信中比较常用的一种形式。m序列发生器的设计和实现方法很多,既可以用硬件(反馈移位寄存器)通过加法器产生,也可以有软件产生。鉴于本文是对m序列的初步研究,所以接下来只讨论用硬件方法实现7位m 序列码发生器。

m序列码发生器是一种反馈移位型结构的电路,它由n位移位寄存器加异或反馈网络组成,其序列长度为M=2n-1,只有一个多余状态即全0状态,所以称为最大线性序列码发生器。由于其结构已定型,且反馈函数和连接形式都有一定的规律,因此可以根据查表的方式(表3-1)反馈函数F和移存器位数n的对应关系。

本文研究M=1023的m序列码,首先根据M=2n-1,确定n=10,再查表可得反馈函数F。从而得出电路设计方法、逻辑电路图。

4. 设计涉及软件介绍

4.1 Protel的简要介绍

Protel是Protel公司在80年代末推出的一款功能强大的电路CAD软件。其所设计的电子电路的产品,涵盖了从小型的电子产品,一直到复杂的电子计算机是目前国内电子行业使用最为广泛的电路设计软件。我所应用的PROTEL 99SE 是Protel公司推出的最新版本应用于电路原理图设计,电路板设计等,是EDA 行业的主流产品。它不仅在绘制原理图,PCB版布局布线等方面功能更加完善,而且为用户提供了功能强大,使用方便的仿真器,它可以对所画的电路原理图进行即时仿真,因此在电路的整个设计周期都可以仿真查看和分析其性能指标,以及便时的发现设计中存在的问题并加以修正,从而更好的完成电路设计。Protel 基于Windows环境,功能强大,人机界面友好,能让人们在具有在完整的功能环境下,提升设计上的品质和效率[21]。

4.2 PROTEL 99SE软件的组成和特点

4.2.1 PROTEL 99SE软件的组成

Protel 99se由五大系统构成:

1.原理图设计系统---原理图设计系统是用于原理图设计的Advanced

Schematic系统。这部分包括用于设计原理图的原理图编辑器Sch以及用于修改生成零件的零件库编辑器SCHLib。

2.印刷电路板设计系统---印刷电路板设计系统使用于电路板设计的Advanced

PCB。这部分包括用于设计电路板的电路板编辑器PCB以及用于修改,生成零件封装的零件封装编辑器PCBLib。

3.信号模拟仿真系统---信号模拟仿真系统是用于原理图上进行信号模拟仿真的SPICE 3f5系统。

4.可编程逻辑设计系统---可编程逻辑设计系统是基于CUPL的集成于原理图设计系统的PLD设计系统。

5.Protel 99se内置编辑器---这部分包括用于显示,编辑文本的文本编辑器Text

和用于显示,编辑电子表格的电子表格编辑器Spread。

4.2.2 PROTEL 99SE软件的主要特点

1. PROTEL 99SE系统针对WindowsNT4/9X作了纯32位代码优化,使得PROTEL

99SE设计系统运行稳定而高效。

2.SmartTool(智能工具)技术将所有的设计工具集成在单一的设计环境中:

SmartDoc(智能文档)技术将所有的设计数据文件存储在单一的设计数据库中,有设计管理器来统一管理;SmartTeam(智能工作组)技术能让通过网络安全地对同一设计进行单独设计,再通过工作组管理功能将各个部分集成到设计管理器中。

3.对电路印刷版设计时的自动布局采用不同的两种布局方式,即组群式和基于

统计方式,新增加了自动布局规则设计功能;增强的交互式布局和布线模式。

4.电路板信号完整性规则设计和检查功能可以检测出潜在的阻抗匹配,信号传

播延时和信号过载等问题:广泛的集成向导功能引导设计人员完成复杂的工作。

5.原理图到印刷电路板的更新功能加强了Sch和PCB之间的联系;可以用标准

或用户自定义模板来生成新的原理图文件;集成的原理图设计系统收集了超过6000个元器件。

6.通过完整的SPICE 3f5仿真系统可以在原理图中直接进行信号仿真;可以选

择超过60种工业标准计算机电路板布线模块,或者用户可以自己生成一个电路板模块。

PROTEL 99SE软件在仿真方面的特点:

PROTEL 99SE提供了高级数模器件混合仿真器,它可以精确地仿真各种器件构成的电路,在仿真方面的特点有:

1.强大的分析功能

用户可以根据PROTEL 99SE电路仿真器提供的功能,分析设计电路的各个方面的性能,如电路的交直流特性,温度漂移,噪声,失真,容差,最坏情况等特性。

2.丰富的信号源

其中包括基本的信号源:直流源,正旋源,脉冲源,指数源,单频调频源,分段线性源,同时还提供了丰富的线性和非线性源。

3.充分的模型仿真库

PROTEL 99SE提供了20多个数字和模拟仿真元件库,共包含6000多个常用元器件。这组库中包括常用的二极管,三极管,单结晶体管,变压器,晶闸管,双向双晶闸管等分立组件,还有大量的数字器件和其它电路器件。

4.友好的操作界面

(1)无需手工编写电路网表文件。系统将根据所画的电路图自动生成网表文件并进行仿真。

(2)通过对话框完成电路分析各参数设置。

(3)方便的观察波形信号。可同时显示多个波形,也可单独显示某个波形;

对波形进行多次局部放大,也可将两个波形放置与同一个单元格内进行

显示并分析比较两者的差别。

(4)强大的波形信号后处理,可利用各数字函数对波形进行各种分析运算并创建一个新的波形。

(5)方便的测量输出波形。PROTEL 99SE提供了两个测量光标,打开它们可测量波形数据。

4.3 用PROTEL 99SE软件进行电路设计的基本步骤

用PROTEL 99SE软件进行电路设计的基本步骤是:

1.设计电路原理图

电路原理图的设计是整个电路设计的基础,因此电路原理图要设计好,以免影响后面的设计工作。电路原理图的的设计一般有以下几个步骤:

(1)设置原理图设计环境;

(2)放置元件;

(3)原理图布线;

(4)编辑和整理;

(5)检查原理图

(6)生成网络表。

2.设计印刷电路板

(1)规划电路板;

(2)设计参数;

(3)装入网络表;

(4)元器件布局;

(5)自动布线;

(6)手工调整。

4.4 10级m序列码发生器的设计

下面以7位m序列码发生器的设计来说明PROTEL 99SE在电路设计中的应用。

1.设计电路原理图

原理图最基本的要求是正确性,其次是布局合理,最后是在正确性和布局合理的前提下要求美观。根据以上的电路图设计步骤,7位m序列发生器的

设计过程如下:

(1)启动原理图设计服务器

进入PROTEL 99SE,创建一个数据库,执行File/New命令,从框图

则服务器(Schematic Document)图标,双击该图标,建立原理图设

计文档。双击图标,进入原理图设计服务器。如图4.1所示:

图4.1 建立文档截图

(2)设置原理图设计环境

相关主题
文本预览
相关文档 最新文档