当前位置:文档之家› 单稳态触发器 (2)

单稳态触发器 (2)

单稳态触发器

概述

单稳态触发器(Monostable Multivibrator),又称单谐振

触发器或单稳态多谐振器,是一种基本的数字电路元件。它在输入触发信号的边沿出现时,会在一定的时间间隔内产生一个输出脉冲。单稳态触发器有广泛的应用,特别是在数字电路中的计算机系统、通信系统和控制系统中,扮演着重要的角色。

工作原理

单稳态触发器由一个RS触发器加上一个RC电路组成。当输入端的触发信号进行边沿触发时,RS触发器的状态发生改变,导致输出信号产生脉冲。而RC电路则决定了脉冲的宽度。

触发信号在上升沿或下降沿时,通过一个比较器来将信号

转换为高电平或低电平。触发信号的上升沿或下降沿引起比较器输出瞬时反转,导致RS触发器的状态发生改变。RS触发

器的状态改变会导致输出脉冲的产生。

在输出脉冲的持续时间方面,RC电路起到了关键的作用。RC电路由一个电阻和一个电容组成,当输入端的触发信号引

起RS触发器状态改变时,电容开始充电,通过选择合适的电阻和电容值,可以控制电容充电的时间,从而控制输出脉冲的持续时间。

应用

单稳态触发器在数字电路中有着广泛的应用。常见的应用

包括: 1. 脉冲生成器:单稳态触发器能够生成一定宽度的脉

冲信号,可以用于时序控制和时序检测。 2. 边沿检测器:单

稳态触发器可以检测输入信号的边沿,用于时序检测。 3. 延

时器:通过调整RC电路的参数,可以实现不同的延时效果,在单片机、微控制器等系统中常用于延时应用。 4. 脉宽测量器:利用单稳态触发器的特性,可以对输入信号的脉冲宽度进行测量。

优点和缺点

单稳态触发器具有以下优点: - 可靠性高:由于是基于硅

片制造的集成电路,因此具有高可靠性和稳定性。 - 可控性强:通过调整RC电路的参数,可以灵活控制输出脉冲的宽度和时间间隔。 - 适用范围广:可以应用于不同的数字电路设计中,满足不同的需求。

然而,单稳态触发器也存在一些缺点: - 成本较高:由于是集成电路,制造工艺复杂,因此成本相对较高。 - 可靠性受限:虽然具有高可靠性,但如果触发信号出现异常或干扰,可能会导致输出脉冲的不稳定。

总结

单稳态触发器是数字电路中常见的基本元件,具有广泛的应用。通过RS触发器和RC电路的组合,能够实现输入触发信号产生输出脉冲的功能,并通过调整RC电路的参数,灵活控制输出脉冲的宽度和时间间隔。然而,需要注意的是,单稳态触发器在设计和应用过程中需要考虑到触发信号的稳定性和输入干扰的影响,以确保输出脉冲的稳定和可靠性。

单稳态触发器

单稳态触发器特点: 电路有一个稳态、一个暂稳态。 在外来触发信号作用下,电路由稳态翻转到暂稳态。 暂稳态不能长久保持,由于电路中RC延时环节的作用,经过一段时间后,电路会自动返回到稳态。暂稳态的持续时间取决于RC电路的参数值。 单稳态触发器的这些特点被广泛地应用于脉冲波形的变换与延时中。 一、门电路组成的微分型单稳态触发器 1. 电路组成及工作原理 微分型单稳态触发器可由与非门或或非门电路构成,如下图。与基本RS触发器不同, (a)由与非门构成的微分型单稳态触发器 (b)由或非门构成的微分型单稳态触发 图6.7微分型单稳态触发器 构成单稳态触发器的两个逻辑门是由RC耦合的,由于RC电路为微分电路的形式,故称为微分型单稳态触发器。下面以CMOS或非门构成的单稳态触发器为例,来说明它的工作原理。 ⑴ 没有触发信号时,电路处于一种稳态 没有触发信号时,为低电平。由于门输入端经电阻R接至,因此 为低电平; 的两个输入均为0,故输出为高电平,电容两端的电压接近0V,这是电路的“稳态”。在触发信号到来之前,电路一直处于这个状态:

, 。 ⑵ 外加触发信号,电路由稳态翻转到暂稳态 当时,的输出由1 0,经电容C耦合,使,于是的输出v02 =1, 的高电平接至门的输入端,从而再次瞬间导致如下反馈过程: 这样导通截至在瞬间完成。此时,即使触发信号撤除(), 由于的作用,仍维持低电平。然而,电路的这种状态是不能长久保持的,故称之为暂稳态。暂稳态时, ,。 ⑶ 电容充电,电路由暂稳态自动返回至稳态 在暂稳态期间,电源经电阻R和门的导通工作管对电容C充电,随着充电时 间的增加增加,升高,使时,电路发生下述正反馈过程(设此时触发器脉冲已消失): 迅速截止,很快导通,电路从暂稳态返回稳态。, 。 暂稳态结束后,电容将通过电阻R放电,使C上的电压恢复到稳定状态时的初始值。在整个过程中,电路各点工作波形如图6.8所示。

74ls系列集成块说明

下面介绍一下常用的74芯片,以便大家在电路中遇到了查询---------------------------------------------------- 型号内容

---------------------------------------------------- 74ls00 2输入四与非门 74ls01 2输入四与非门(oc) 74ls02 2输入四或非门 74ls03 2输入四与非门(oc) 74ls04 六倒相器 74ls05 六倒相器(oc) 74ls06 六高压输出反相缓冲器/驱动器(oc,30v) 74ls07 六高压输出缓冲器/驱动器(oc,30v) 74ls08 2输入四与门 74ls09 2输入四与门(oc) 74ls10 3输入三与非门 74ls11 3输入三与门 74ls12 3输入三与非门(oc) 74ls13 4输入双与非门(斯密特触发) 74ls14 六倒相器(斯密特触发) 74ls15 3输入三与门(oc) 74ls16 六高压输出反相缓冲器/驱动器(oc,15v) 74ls17 六高压输出缓冲器/驱动器(oc,15v) 74ls18 4输入双与非门(斯密特触发) 74ls19 六倒相器(斯密特触发) 74ls20 4输入双与非门 74ls21 4输入双与门 74ls22 4输入双与非门(oc) 74ls23 双可扩展的输入或非门 74ls24 2输入四与非门(斯密特触发) 74ls25 4输入双或非门(有选通) 74ls26 2输入四高电平接口与非缓冲器(oc,15v) 74ls27 3输入三或非门 74ls28 2输入四或非缓冲器

555定时器工作原理及应用引脚图 (2)

555定时器引脚图及其简单应用 本文主要介绍了555定时器的工作原理及其在单稳态触发器、多谐振荡器方面的应用。 关键词:数字——模拟混合集成电路;施密特触发器;波形的产生与交换 555芯片引脚图及引脚描述 555的8脚是集成电路工作电压输入端,电压为5~18V,以UCC表示;从分压器上看出,上比较器A1的5脚接在R1和R2之间,所以5脚的电压固定在2UCC/3上;下比较器A2接在R2与R3之间,A2的同相输入端电位被固定在UCC/3上。 1脚为地。2脚为触发输入端;3脚为输出端,输出的电平状态受触发器控制,而触发器受上比较器6脚和下比较器2脚的控制。 当触发器接受上比较器A1从R脚输入的高电平时,触发器被置于复位状态,3脚输出低电平; 2脚和6脚是互补的,2脚只对低电平起作用,高电平对它不起作用,即电压小于1Ucc/3,此时3脚输出高电平。6脚为阈值端,只对高电平起作用,低电平对它不起作用,即输入电压大于2 Ucc/3,称高触发端,3脚输出低电平,但有一个先决条件,即2脚电位必须大于1Ucc/3时才有效。3脚在高电位接近电源电压Ucc,输出电流最大可打200mA。 4脚是复位端,当4脚电位小于0.4V时,不管2、6脚状态如何,输出端3脚都输出低电平。 5脚是控制端。 7脚称放电端,与3脚输出同步,输出电平一致,但7脚并不输出电流,所以3脚称为实高(或低)、7脚称为虚高。 1概述 1.1 555定时器的简介 555定时器是一种多用途的数字——模拟混合集成电路,利用它能极方便地构成施密特触发器、单稳态触发器和多谐振荡器。由于使用灵活、方便,所以555定时器在波形的产生与交换、测量与控制、家用电器、电子玩具等许多领域中都得到

数电试题及答案(共11套)

《数字电子技术基础》试题一 一、 填空题(22分 每空2分) 1、=⊕0A A , =⊕1A 。 2、JK 触发器的特性方程为: n n n Q K Q J Q +=+1 。 3、单稳态触发器中,两个状态一个为 稳态 态,另一个为 暂稳态 态.多谐振荡器两个状态都为 暂稳态 态, 施密特触发器两个状态都为 稳态 态. 4、组合逻辑电路的输出仅仅只与该时刻的 输入 有关,而与 电路的原先状态 无关。 5、某数/模转换器的输入为8位二进制数字信号(D 7~D 0),输出为0~25.5V 的模拟电压。若数字信号的最低位是“1”其余各位是“0”,则输出的模拟电压为 0.1V 。 6、一个四选一数据选择器,其地址输入端有 2 个。 二、 化简题(15分 每小题5分) 用卡诺图化简逻辑函数,必须在卡诺图上画出卡诺圈 1)Y (A,B,C,D )=∑m (0,1,2,3,4,5,6,7,13,15) 2)∑∑+=)11,10,9,3,2,1()15,14,13,0(),,,(d m D C B A L 利用代数法化简逻辑函数,必须写出化简过程 3)________________________________________ __________)(),,(B A B A ABC B A C B A F +++= 三、 画图题(10分 每题5分) 据输入波形画输出波形或状态端波形(触发器的初始状态为0). 1、 2、 四、 分析题(17分) 1、分析下图,并写出输出逻辑关系表达式,要有分析过程(6分)

2、电路如图所示,分析该电路,画出完全的时序图,并说明电路的逻辑功能,要有分析过程(11分) 五、设计题(28分) 1、用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一 台不正常;黄灯亮表示两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,要求用74LS138和适当的与非门实现此电路(20分) 2、中规模同步四位二进制计数器74LS161的功能表见附表所示;请用反馈预置回零法设计一 个六进制加法计数器。(8分) 六、分析画图题(8分) V作用下,输出电压的波形和电压传输特性 画出下图所示电路在 i

第八章习题解答

习题八答案 1. 试比较多谐振荡器、单稳态触发器、施密特触发器的工作特点,并说明每种电路的主要 用途。 答:多谐振荡器是一种自激振荡电路,不需要外加输入信号,它没有稳定状态,只有两个暂稳态。暂稳态间的相互转换完全靠电路本身电容的充电和放电自动完成。改变外接R 、C 定时元件数值的大小,可调节振荡频率。 施密特触发器具有回差特性,它有两个稳定状态,有两个不同的触发电平。施密特触发器可将任意波形变换成矩形脉冲,输出脉冲宽度取决于输入信号的波形和回差电压的大小。 单稳态触发器有一个稳定状态和一个暂稳态。输入信号起到触发电路进入暂稳态的作用,其输出脉冲的宽度取决于电路本身 R 、C 定时元件的数值。改变 R 、C 定时元件的数值可调节输出脉冲的宽度。 多谐振荡器是常用的矩形脉冲产生电路。施密特触发器和单稳态触发器是两种常用的整形电路。施密特触发器可用来进行整形、幅度鉴别、构成多谐振荡器等。单稳态触发器常用于脉冲的延时、定时和整形等。 2.在图8.2所示555集成定时器中,输出电压uo 为高电平UOH、低电平UOL及保持原来状态不变的输入信号条件各是什么?假定UCO端已通过0.01μF 接地,u D 端悬空。 答:当1=R 时, TR U <3V CC ,则C 2输出低电平, 1=Q ,OH o U u =。 当1=R 时, TH U >32V CC ,TR U >3V CC , 则C 1输出低电平、C 2输出高电平,1=Q 、 0=Q ,OL o U u =。 当1=R 时, TH U < 32V CC ,TR U >3V CC ,则C 1 C 2输出均为高电平,基本RS 触发器保持原来状态不变,因此o u 保持原来状态不变。 3.在图8.3所示多谐振荡器中,欲降低电路振荡频率,试说明下面列举的各种方法中,哪些是正确的,为什么? 1) 加大R 1的阻值; 2) 加大R 2的阻值; 3) 减小C 的容量。 答:根据式(8-2)()ln221 121C R R T f +==可知,1)2)两种方法是正确的。 4.在图8.3用555定时器构成的多谐振荡器电路中,若R 1 = R 2=5.1k Ω,C=0.01μF ,V CC =12V ,试计算电路的振荡频率和占空比。 答:根据式(8-2)()ln221 121C R R T f +==可知,f ≈9.429 KHz 根据式(8-3)212 112R R R R T t q w ++==可知,3 2=q 5.在图8.7占空比可调的多谐振荡器中,C=0.2μF ,V CC =9V ,要求其振荡频率f =1KHz ,占 空比q=0.5,估算R 1 、R 2的阻值。

实验九单稳态双稳态触发器

STE-3A 数字电路实验—09 实验九单稳态和双稳态触发器 一.实验目的 1.掌握单稳态触发电路的工作原理。 2.掌握双稳态触发电路的工作原理。 二.电路原理简述 由于单稳态触发器电路因触发后能够保持一段暂稳状态,?所以这种电路具有记忆功能,即将触发信号保持一段时间。单稳态触发器只有一个稳定输出状态,这个稳定状态要么是0,要么是1。另有一个暂稳输出状态,电路在暂稳态下会自动返回到稳定输出状态,电路只有在有效输入触发信号触发下才会从稳态进入暂稳态。.?单稳态触发器根据电路不同有两种:一是集—基耦合单稳态触发器电路。二是发射极耦合单稳态触发器电路。单稳态触发器电路可以用分立元器件构成,也可以用集成逻辑门构成电路单稳态触发器,在逻辑门构成的单稳态触发器电路中,根据电路不同又有微分型电路和积分型电路两种。在单稳态触发器的输入端触发电路中,可以采用基极触发电路,?也可以采用集电极触发电路。根据有效触发脉冲的极性不同又有正尖顶脉冲触发和负尖顶脉冲触发两种。 双稳态电路又称为双稳态触发器,这种电路有两个稳定的输出状态,?如果没有有效的触发信号进行触发,这种稳态电路将保持一种稳定状态。双稳态电路的输出信号波形是矩形脉冲波形,这种电路的两个输出端输出信号相位相反,即一个输出高电平时另一个输出低电平。分立元器件构成的双稳态触发器有两种电路:一是集电极-基极耦合双稳态电路,二是发射极耦合双稳态电路。两种双稳态电路都有两个稳定的状态,但电路的工作原理不同,对于集-基耦合的双稳态电路而言,它的工作状态转换是受触发信号控制的,而射耦双稳态电路受输入电压大小控制。 单稳态触发器电路和双稳态触发器电路一样,?在输入触发脉冲信号作用下电路通过正反馈回路进行翻转,使电路从一种状态翻转到另一种状态,没有负反馈回路的作用,这两种触发器电路都不能进行自动翻转。 三.实验设备 名称数量型号 1.适配器1只SD128 2.单稳态触发器1只SD113 3.电源1只5V 4.实验板1块5孔 5.电子导线若干 四.实验内容与步骤 本章节中,我们所用的元件是由两个可调整控制时间的单稳态触发回路组成的。 根据图9-1连接线路,把单稳态触发器上的电位器调整至中间位置。按下适配器上的M 按钮,然后放开,当适配器上的LED指示等亮起,就表示此时单稳态触发器输入端输入信号为“1”。过几秒钟后,我们可以看到什么?不断调整电位器,我们可以发现,单稳态触发

74LS系列功能表

74ls01 2输入四与非门 (oc) 74ls02 2输入四或非门 74ls03 2输入四与非门 (oc) 74ls04 六倒相器 74ls05 六倒相器(oc) 74ls06 六高压输出反相缓冲器/驱动器(oc,30v) 74ls07 六高压输出缓冲器/驱动器(oc,30v) 74ls08 2输入四与门 74ls09 2输入四与门(oc) 74ls10 3输入三与非门 74ls11 3输入三与门 74ls12 3输入三与非门 (oc) 74ls13 4输入双与非门 (斯密特触发) 74ls14 六倒相器(斯密特触发) 74ls15 3输入三与门 (oc) 74ls16 六高压输出反相缓冲器/驱动器(oc,15v) 74ls17 六高压输出缓冲器/驱动器(oc,15v) 74ls18 4输入双与非门 (斯密特触发) 74ls19 六倒相器(斯密特触发) 74ls20 4输入双与非门 74ls21 4输入双与门 74ls22 4输入双与非门(oc) 74ls23 双可扩展的输入或非门 74ls24 2输入四与非门(斯密特触发) 74ls25 4输入双或非门(有选通) 74ls26 2输入四高电平接口与非缓冲器(oc,15v) 74ls27 3输入三或非门 74ls28 2输入四或非缓冲器

74ls31 延迟电路 74ls32 2输入四或门 74ls33 2输入四或非缓冲器(集电极开路输出) 74ls34 六缓冲器 74ls35 六缓冲器(oc) 74ls36 2输入四或非门(有选通) 74ls37 2输入四与非缓冲器 74ls38 2输入四或非缓冲器(集电极开路输出) 74ls39 2输入四或非缓冲器(集电极开路输出) 74ls40 4输入双与非缓冲器 74ls41 bcd-十进制计数器 74ls42 4线-10线译码器(bcd输入) 74ls43 4线-10线译码器(余3码输入) 74ls44 4线-10线译码器(余3葛莱码输入) 74ls45 bcd-十进制译码器/驱动器 74ls46 bcd-七段译码器/驱动器 74ls47 bcd-七段译码器/驱动器 74ls48 bcd-七段译码器/驱动器 74ls49 bcd-七段译码器/驱动器(oc) 74ls50 双二路2-2输入与或非门(一门可扩展) 74ls51 双二路2-2输入与或非门 74ls51 二路3-3输入,二路2-2输入与或非门 74ls52 四路2-3-2-2输入与或门(可扩展) 74ls53 四路2-2-2-2输入与或非门(可扩展) 74ls53 四路2-2-3-2输入与或非门(可扩展) 74ls54 四路2-2-2-2输入与或非门 74ls54 四路2-3-3-2输入与或非门 74ls54 四路2-2-3-2输入与或非门

单稳态触发器

单稳态触发器(双击自动滚屏) 我们知道,因为触发器有两个稳定的状态,即0和1,所以触发器也被称为双稳态电路。与双稳态电路不同,单稳态触发器只有一个稳定的状态。这个稳定状态要么是0,要么是1。单稳态触发器的工作特点是:(1)在没有受到外界触发脉冲作用的情况下,单稳态触发器保持在稳态;(2)在受到外界触发脉冲作用的情况下,单稳态触发器翻转,进入“暂稳态”。假设稳态为0,则暂稳态为1。(3)经过一段时间,单稳态触发器从暂稳态返回稳态。单稳态触发器在暂稳态停留的时间仅仅取决于电路本身的参数。 微分型单稳态触发器[图6.3.1]包含阻容元件构成的微分电路。因为CMOS门电路的输入 电阻很高,所以其输入端可以认为开路。电容和电阻构成一个时间常数很小的微分电路, 它能将较宽的矩形触发脉冲变成较窄的尖触发脉冲。稳态时,等于0,等于0,等 于,等于0,等于,电容两端的电压等于0。触发脉冲到达时,大于, 大于,等于0,等于0,等于,电容开始充电,电路进入暂稳态。当电 容两端的电压上升到时,即上升到时,等于0,电路退出暂稳态,电路的输出恢复到稳态。显然,输出脉冲宽度等于暂稳态持续时间。电路退出暂稳态时,已经回到0(这 是电容和电阻构成的微分电路决定的),所以等于,等于,电容 通过输入端的保护电路迅速放电。当下降到时,电路内部也恢复到稳态。 图6.3.1 微分型单稳态触发器

图6.3.5 积分型单稳态触发器 积分型单稳态触发器[图6.3.5]包含阻容元件构成的积分电路。稳态时,等于0,、 和等于。触发脉冲到达时,等于,等于,仍等于,等于, 电容开始通过电阻放电,电路进入暂稳态。当电容两端的电压下降到时,即下降 到时,等于,电路退出暂稳态,电容的放电过程要持续到触发脉冲消失。回到 后,又变成,电容转为充电。当上升到后,电路内部也恢复到稳态。 图6.3.8 集成单稳态触发器74121的逻辑图 在普通微分型单稳态触发器的基础上增加一个输入控制电路和一个输出缓冲电路就可以构成集成单稳态触发器[图6.3.8]。输入控制电路实现了触发脉冲宽度转换功能以及触发脉冲边沿选择功能。输出缓冲电路则提高了电路的负载能力。

电力电子实验四 BJT单相并联逆变电路

B J T单相并联逆变电路 一、实训目的 1、熟悉由功率双极晶体管(BJT)组成的单相并联逆变电路的工作原理。 2、了解功率双极晶体管的驱动和保护。 3、掌握无源逆变电路的调试及负载电压、电流参数和波形的测量。 二、实训电路 1、实训电路如图下画所示 2、实训电路工作原理 实训电路由脉冲发生电路(控制电路)和逆变电路(主电路)两部分构成。 (1)由555定时器构成的电路是一个多谐振荡器,由〈电子技术〉可知,调节电位器RP,即可调节输出量的频率。 同样由〈电子技术〉可知,此电路改变频率时,占空比也会变(且占空比q>50%)。 (2)图中的JK触发器为整形电路,驱动管V3和V4,在V3和V4中,只能有一个处于导通状态。(阻止逆变失败)。 (3)由功率晶体管V1、V2和变压器T构成单相(无源)逆变电路。与V1、V2并联的阻容及快速恢复二极管为耗能式关断缓冲(吸收)电路,以缓解晶体管突然关断时承受的冲击。电路中的R9为保护电阻,以防逆变失败时,形成过大的电流(电路正常后,将R9短接)损坏功率晶体管V1、V2。 三、实训设备

1、亚龙YL-209型实训装置单元(5) 2、双踪示波器 3、万用表 四、实训内容与步骤 1、控制电路接上+15V和+5V电源,用示波器观测控制电路各点(3、4、5、 6、7点)电压的数值与波形。 观察:①调节RP,频率是否连续可调,读出此时频率为多少?频率改变时,脉宽有无变化? ②4、5点频率是否3点的一半,4、5两点波形是否正好相反。 ③6、7点波形与幅值与4、5点是否相同。 2、将主电路中的+12V电源(因电流较大,建议采用直流可调电源),电压 表,电流表和负载(白炽灯)全部接上,并将主电路与控制电路接通。 3、用示波器测量负载上的电压波形,观察逆变电路工作是否正常。 观察:①11、12点(或10、12点)间的电压波形。 ②电压表和电流表读数。 ③负载(白炽灯)上的电压波形。 若正常,则将R9短接。 1、调节RP,记录下RP为零(f=f0)和RP为最大(f=f m)时负载电压U0 和逆变电路输入电流I的数值与波形。

数字电子技术 第10章 脉冲波形的产生电路

第10章 脉冲波形的产生与整形电路内容提要: 本章主要介绍多谐振荡器、单稳态触发器和施密特触发器的电路结构、工作原理及其应用。它们的电路结构形式主要有三种:门电路外接RC电路、集成电路外接RC电路和555定时器外接RC电路。 10.1概述 导读: 在这一节中,你将学习: ?多谐振荡器的概念 ?单稳态触发器的概念 ?施密特触发器的概念 在数字系统中,经常需要各种宽度和幅值的矩形脉冲。如时钟脉冲、各种时序逻辑电路的输入或控制信号等。有些脉冲信号在传送过程中会受到干扰而使波形变坏,因此还需要整形。 获得矩形脉冲的方法通常有两种:一种是用脉冲产生电路直接产生,产生脉冲信号的电路称为振荡器;另一种是对已有的信号进行整形,然后将它变换成所需要的脉冲信号。 典型的矩形脉冲产生电路有双稳态触发电路、单稳态触发电路和多谐振荡电路三种类型。 (1)双稳态触发电路又称为触发器,它具有两个稳定状态,两个稳定状态之间的转换都需要在外加触发脉冲的作用下才能完成。 (2)单稳态触发电路又称为单稳态触发器。它只有一个稳定状态,另一个是暂时稳定状态(简称“暂稳态”),在外加触发信号作用下,可从稳定状态转换到暂稳态,暂稳态维持一段时间后,电路自动返回到稳态,暂稳态的持续时间取决于电路的参数。 (3)多谐振荡器能够自激产生连续矩形脉冲,它没有稳定状态,只有两个暂稳态。其状态转换不需要外加触发信号触发,而完全由电路自身完成。若对该输出波形进行数学分析,可得到许多各种不同频率的谐波,故称“多谐”。 脉冲整形电路能够将其它形状的信号,如正弦波、三角波和一些不规则的波形变换成矩形脉冲。施密特触发器就是常用的整形电路,它利用其著名的回差电压特性来实现。 自测练习 1.获得矩形脉冲的方法通常有两种:一种是();另一种是()。 2.触发器有()个稳定状态,分别是()和()。 3.单稳态触发器有()个稳定状态。 4.多谐振荡器有()个稳定状态。

数字电路问题解答

1.什么是数字信号?什么是模拟信号? 答:数字信号:电压或电流在幅度上和时间上都是离散、突变的信号。 模拟信号:电压或电流的幅度随时间连续变化。 2.在数字逻辑电路中为什么采用二进制? 答:由于二进制数中的0和1与开关电路中的两个状态对应,因此,二进制数在数字电路中应用十分广泛。二进制只有0和1两个数码,可分别表示数字信号的高电平和低电平,使得数字电路结构简单,抗干扰能力强,便于集成化,通用性强。 3.二进制数如何转变为八进制数和十六进制数? 答:二进制数转换为八进制数的方法是:整数部分从低位开始,每3位二进制数为一组,最后一组不足3位时,则在高位加0补足3位为止;小数点后的二进制数则从高位开始,每3位二进制数为一组,最后一组不足3位时,则在低位加0补足3位,然后用对应的八进制数来代替,再按原顺序排列写出对应的八进制数。 二进制数转换为十六进制数的方法与上述方法类似,只是每4位二进制数为一组。4.8421码和8421BCD码有什么区别? 答:所谓BCD码是将十进制数的0~9十个数字用4位二进制数表示的代码,而8421BCD 码是取4位自然二进制数的前10种组合,即0000(0)~1001(9),从高位到低位的权值分别为8、4、2、1。而8421码仅表示权值分别为8、4、2、1的四位二进制代码。并不一定是表示十进制数,仅仅是一种代码,可用任意的意义。 5.为什么格雷码是可靠性代码? 答:格雷码为无权码,特点是任意两组相邻的格雷码之间只有一位不同,其余各位都相同,且0和最大数之间也具有这一特征,是一种循环码。它的这个特点使它在传输和形成过程中引起的错误很少。 6.利用反演规则和对偶规则进行变换时,应注意哪些问题? 答:反演规则应注意:运算符号的优先顺序;原、反变量互换时,只对单个变量有效,而对于与非、或非等长非号则保持不变。 对偶规则:同样要注意运算符号的优先顺序,同时,所有变量上的非号都保持不变。7.常见逻辑函数有哪几种表示方法? 答:真值表、逻辑函数式、逻辑图、卡诺图和时序波形图。 8.什么是相邻项?它有哪些特性? 答:相邻项指:只有一个变量互为反变量,其余变量都相同的两个最小项。 两个相邻项可进行合并,合并的结果为两个相邻项中的共有变量,消去一个互反变量。9.数字电路与模拟电路相比有哪些特点? 答:(1)电路结构简单,便于集成化。 (2)工作可靠。抗干扰能力强。 (3)数字信号便于长期保存和加密。 (4)数字集成电路产品系列全,通用性强,成本低。 (5)数字电路不仅能完成数值运算,而且还能进行逻辑判断。 10.卡诺图化简逻辑函数时,画包围圈的原则是什么? 答:1)每个包围圈内相邻1方格的个数一定是2n个方格,n=0,1,,2,3,… 2)同一个1方格可以被不同的包围圈重复包围多次,但新增加的包围圈中必须有原先没有被圈过的1方格。 3)包围圈中相邻1方格的个数尽量多。 4)包围圈的个数尽量少。 11.用卡诺图化简逻辑函数时,一个包围圈能包围6个1方格吗?

用集成施密特触发器设计单稳态触发器和多谐振荡器

第五章技术训练 训练一用集成施密特触发器设计单稳态触发器和多谐振荡器 一、训练目的 考证集成施密特触发器的逻辑功能。 熟习集成施密特触发器的几种典型应用。二、训练内容 1.自选TTL或CMOS集成施密特触发器。 2.用集成施密特触发器设计一个脉冲宽度tW=100μs的单稳态触发器。同意偏差 为±15%。 3.用集成施密特触发器设计一个振荡频次为 f=10kHz的多谐振荡器。 三、预习要求 1.熟习所采用集成施密特触发器的功能及外引线摆列,并画出接线图。 2.复惯用施密特触发器构成单稳态触发器和多谐振荡器的工作原理。查阅资料进行设 计。 3.写出设计过程,列出所采用R和C的参数值(指标称值)及型号,并画出电路图。 4.仔细阅读3.6技术训练中故障的检查与清除。 四、训练要求 1.自拟单稳态触发器和多谐振荡器的测试调整步骤。 2.测试并记录单稳态触发器输入波形和输出波形的参数(如脉冲幅度、宽度、上涨沿和降落沿的时间)。 3.测试并记录多振荡器输出脉冲的周期。 4.将估量的单稳态触发器输出脉冲宽度、多谐振荡器的振荡周期与实测值进行比较,剖析产生偏差的原由。 5.关于技术训练中产生的故障,应独立剖析产生的可能原由,学习利用仪表查找和清除故障。 训练二但稳态触发器的设计与调试

1.一、训练目的 2.熟习集成单稳态触发器的功能及RC准时元件的变化对输出脉冲宽度的影响。

熟习集成单稳态触发器的几种典型应用。二、训练内容 自选TTL或CMOS集成单稳态触发器。 2.利用集成单稳态触发器分别设计输出脉冲宽度tW=100μs和延缓时间为250μs的 电路(同意偏差均为±15%)。 用集成单稳态触发器构成一个脉冲宽度tW可在到1ms之间连续调理的单稳态触发器。 三、预习要求 熟习所采用集成单稳态触发器的功能和外引线摆列,并画出接线图。 写出设计过程,列出所采用外接元件R和C的参数(标称值),并画出电路图。四、训练要求自拟单稳态触发器的测试调整步骤。 2.测试并记录单稳态触发器输出脉冲的宽度和上涨沿、降落沿的时间,并将宽度与估量 值进行比较,说明产生偏差的原由。 独立剖析、查寻和清除技术训练中出现的故障。 训练三用与非门构成多谐振荡器的设计与调试 一、训练目的 1.研究准时元件对多谐振荡器输出脉冲宽度和振荡周期的影响。 2.掌握用与非门构成多谐振荡器的设计方法和调试方法。 二、训练内容 1.自选TTL或CMOS与非门电路。 2.设计一个能输出方波(脉冲宽度和间隔相等的矩形脉冲)的多谐振荡器,其周期T =200μs(同意偏差为±15%)。 3.设计一个振荡频次为1MHz(或其余频次)的石英晶体振荡器。 三、预习要求 1.复惯用门电路构成多谐振荡器和石英晶体振荡器的工作原理。 2.熟习所采用集成逻辑门的外引线摆列,并画出接线图。 3.写出设计过程,列出所采用R、C元件的参数值,画出电路图。 4.仔细阅读技术训练中故障的检查与清除。

555单稳态电路图

555单稳态电路图 第1种(图1)是人工启动单稳,又因为定时电阻定时电容位置不同而分为2个不同的单元,并分别以1.1.1 和1.1.2为代号。他们的输入端的形式,也就是电路的结构特点是:“RT-6.2-CT”和“CT-6.2-RT”。 第2种(图2)是脉冲启动型单稳,也可以分为2个不同的单元。他们的输入特点都是“RT-7.6-CT”,都是从2端输入。1.2.1电路的2端不带任何元件,具有最简单的形式;1.2.2电路则带有一个RC微分电路。 第3种(图3)是压控振荡器。单稳型压控振荡器电路有很多,都比较复杂。为简单起见,我们只把它分为2个不同单元。不带任何辅助器件的电路为1.3.1;使用晶体管、运放放大器等辅助器件的电路为1.3.2。图中列出了2个常 用电路。

1 555时基电路的特性 555集成电路开始是作定时器运用的,所以叫做555定时器或555时基电路。但后来经过开发,它除了作定时延时控制外,还可用于调光、调温、调压、调速等多种控制及计量检测。此外,还可以组成脉冲振荡、单稳、双稳和脉冲调制电路,用于交流信号源、电源变换、频率变换、脉冲调制等。由于它工作可靠、运用方便、价钱低廉,当前被广泛用于各种电子产品中,555集成电路内部有几十个元器件,有分压器、比较器、基本R-S触发器、放电管以及缓冲器等,电路比较庞杂,是模拟电路和数字电路的混合体, 如图1所示。 图1 555集成电路内部结构图 555集成电路是8脚封装,双列直插型,如图2(A)所示,按输入输出的排列可看成如图2(B)所示。其中6脚称阈值端(TH),是上比较器的输入;2 脚称触发端(TR),是下比较器的输入;3脚是输出端(Vo),它有O和1两种状态,由输入端所加的电平决定;7脚是放电端(DIS),它是内部放电管的输出,有悬空和接地两种状态,也是由输入端的状态决定;4脚是复位端(MR),加上低电日常可使输出为低电平;5脚是控制电压端(Vc),可用它改动上下触发电平值;8脚是电源端,1脚是地端。 图2 555集成电路封装图 咱们也可以把555电路等效成一个带放电开关的R-S触发器,如图3(A)所示,这个特殊的触发器有两个输入端:阈值端(TH)可看成是置零端R,要求高电平,触发端(TR)可看成是置位端S,要求低电平,有一

数电填空选择 背诵

一、填空题 3、TTL 与非门多余输入端的处理方法是 高电平或悬空或接电源或与其它输入端并接 )。 4、TTL 三态门的三种可能的输出状态分别是( 高电平 )、( 低电平 )和( 高阻 )。 5、门电路输入端个数称为门的( 扇入 )系数,门电路带同类门数量的多少称为门的( 扇出 )系数。 7、具有两个稳定状态,能够存储1位二值信息的基本单元称为( 触发器 )。 8、触发器具有( 2 )个稳定状态,它可记录( 1 )位二进制代码。若要存储8位二进制信息需要( 8 )个触发器。 9、时序电路一般由(存储电路)和( 组合电路 )两部分组成。 10、有一个容量为256*4位的RAM ,该RAM 有( 1024 )个基本存储单元,有( 8 )个地址线,每次访问( 4 )个基本存储单元。 11、四位二进制编码器有( 16 )个输入端,( 4 )个输出端。 12、逻辑变量的异或表达式为:( A B+A B )。 1、门电路通常由半导体 二极管 和 三极管 等构成,且它们通常工作于 导通 和 截止 两种工作状态。 2、逻辑代数又叫布尔代数,有三种基本逻辑运算分别是 或运算 、 与运算 和 非运算 。 3、任何一个逻辑函数均可以用 逻辑表达式 、 真值表 、 卡诺图 和 逻辑图 四种方法表示。 4、DAC 可以实现 数模 的转换。 6、能将输入的1个数据根据需要传送到多个端口之一的电路称为( 数据分配器 )。 7、集成电路74ALS08属于 ( 先进的低功耗肖特基TTL 电路 ) 8、循环码有两个显著特点,其一是 相邻性 ,其二是 循环性 。 9、RS 型为电平触发的触发器。 1、 随着 计数脉冲 的输入可做递增又可做递减计数的计数器称为可逆计数器。 4、时序电路中一定含有( 触发器 ) 1.EPROM 是指( 可擦可编程只读存储器 )。 2、同步十进制计数器循环一个周期需要CP 数是10。 2、 将1024×4位的RAM 扩展为8192×8位的RAM ,需用( 16 )片1024×4位的RAM , 还需要一片3线-8线译码器。 3、 4096×8位的RAM ,其存储容量为( 32)kbit 。 2、可编程逻辑器件的通用阵列逻辑英文缩写是( GAL )。 A B ⊕=

单稳态电路

二、单稳态电路 单稳态电路只有一个稳定状态。在外界触发脉冲的作用下,电路从稳态翻转到暂态,在暂态维持一段时间之后,又返回稳态,并在输出端产生一个矩形脉冲。 1、单稳态的电路组成 它是由CC7555定时电路构成,电路图为:如图(1)所示 它的工作特点: 电路只有一个稳定状态; 当外界触发脉冲来后,电路从稳态翻转到暂态,并在暂态停留一段时间,而且在输出端产生一个宽度为 T W的矩形脉冲。 它的应用: 在数字系统中,单稳态电路常用于整形。即:把不规则的波形转换成宽度、幅度相同的波形。 例1.怎样改变输出脉冲的宽度(即延迟时间)呢? 答:有三种方法1.改变电阻R;2.改变电容C;3.改变控制电压端的接法。 例2.如图(1)所示:改变控制电压端(引脚5)的电压值,可改变( ) 答案为:D A.输出电压的高低电平 B.输出电压的周期 C.对输出波形无影响 D.输出电压的脉冲的宽度 第21章单稳态触发器 内容提要:单稳态触发器是一种重要的时序数字电路,本章介绍单稳态触发器的电路构成、工作原理、特性和典型应用。 21.1 单稳态触发器 21.1.1 概述 单稳态触发器也是一种重要的时序逻辑电路,它和双稳态触发器不同,只有一个稳定状态,另一个是暂稳态,经过一段延迟时间后,将自动返回稳定状态。这个延迟时间一般称为暂稳态时间,是由电路中有关的电阻电容时间常数确定

的。单稳态触发器进入暂稳态要靠触发脉冲的触发才行,有的单稳态触发器是由触发脉冲的上升沿触发翻转的;有的单稳态触发器是靠触发脉冲的下降沿触发翻转的。在触发方式是单稳态触发器和双稳态触发器的异同见图21-1-1。 双稳输出 单稳输出 触发触发暂稳时间 图21-1-1 单稳态和双稳态触发器触发方式的异同 21.1.2 集成单稳态触发器 21.1.2.1 集成单稳态触发器简介 产品集成单稳态触发器的型号有许多,如74121、74LS122、74LS123、CC4098、CC4538、CC14528、CC14538等,现以74LS122为例加以说明。 74LS122是双单稳态触发器,它的功能表见表21-1,逻辑符号见图21-1-2。表和图中的d R 是直接清“0”端,2121B B A A 、和、是触发输入端,其中21A A 、是低电平信号触发端,21B B 和是高电平信号触发端,Q Q 和是输出端,R int 和C int 是外接定时电阻和定时电容的接入端。 表21-1 功能表 Q ↑d R 1A 2A 1B 2B Q ⨯H L ⨯⨯H H H H H H ↑ ⨯⨯⨯⨯L H H H ⨯⨯L H L H L H ⨯⨯⨯⨯⨯⨯L L L ⨯H ↑L ⨯H ↑L ⨯ H ↑L ⨯H ↑H H H H H H H H H H H L ⨯ ↓↓↓↓H ⨯L ext ext /C R ext C int R d R 2B 1 B 2A 1A ext ext /C R ext C int R d R 2B 1B 2A 1A 图21-1-2 74LS122的逻辑图 图21-1-3 不可重触发单稳态 当d R =0时,单稳态触发器清零,Q =0。功能表中的符号↑和↓表示触发信号的触发边沿,2121B B A A 、和、都可以做触发输入端使用,d R 除了做清零端使用

模电课程设计电压频率变换器

摘要 本实验是对信号的产生、处理及变换功能电路的设计,在实际生产和操作中有这应用广泛。本设计是主要针对的是模拟电子技术课程的设计,具有可操作性和应用性,学生能够独立完成。电路信号的转换已经在电子领域中广泛应用,如:采样/保持(S/H)电路、电压比较电路、V/f(电压/频率)变换器、f/V(频率/电压)转换器、V/I(电压/电流)转换器、I/V(电流/电压)转换器、A/D(模/数)转换器、D/A(数/模)转换器等。可以从本实验中学习到更多的电路设计的方法,激发学生的设计兴趣和激情,为以后的学习和工作打下良好大的基础。而V/f(电压/频率)转换器便是本实验的主要内容。 目录

一. 设计任务 二. 简略设计方案 三. 电路构成和部分参数计算 1.积分电路 2.单稳态触发器电路 3. 电子开关电路图 4.恒流源电路的设计 四.总原理图和元器件清单 1.总原理图 2.元件清单 五.基本计算与仿真调试分析 1.基本计算 2.仿真结果 六.PCB仿真图 七. 设计总结 八.参考文献 一、设计任务 1.设计一种电压/频率变换电路,输入υI为直流电压(控制信

号),输出频率为ƒO的矩形脉冲,且 O fυI。 2.υI变化范围:0~10V。 3.ƒO变化范围:0~10kHz 4.转换精度<1% 。 二、设计方案 可知电路主要是由积分器、单稳态触发器、电子开关和恒流源电 路组成,原理框图如下: 三、电路构成和部分参数计算 1.、积分电路: 积分电路采用集成运算放大器和RC元件构成反向输入积分器。电路图如下: 积分器 恒流源 电子开关 单稳态

2、单稳态触发器电路 单稳态触发器由555定时器构成,单稳态触发器具有下列特点:第一、它有一个稳定状态和一个暂稳状态;第二、在外来触发脉冲的作用下,能够由稳定状态翻转到暂稳状态;第三、暂稳状态维持一段时间后,将自动返回到稳定的状态。暂稳状态时间的长短,与触发器脉冲无关,仅决定于电路本身的参数或者电路阀值电压以外接R、C参数有关,单稳态触发器输出脉冲宽度t W仅决定于定时元件R、C的取值,与输入触发信号和电源电压无关,调节R、C的取值,即可方便的调节t W。采用积分器作为输入电路,积分器是输出信号去控制单稳态触发器,那样就可以得到矩形脉冲的输出。单稳态触发器在数字系统和装置中,一般用于定时(产生一定宽度的脉冲)、整形(把不规则的波形转换成等宽、等幅的脉冲)以及延时(将输入信号延迟一定的时间之后输出)等。 电路图如下:

555定时器的应用与原理介绍

555定时器的应用与原理介绍 555定时器介绍: 555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为555,用CMOS 工艺制作的称为7555,除单定时器外,还有对应的双定时器556/7556。555 定时器的电源电压范围宽,可在 4.5V~16V 工作,7555 可在3~18V 工作,输出驱动电流约为200mA,因而其输出可与TTL、CMOS 或者模拟电路电平兼容。 555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。555 定时器的内部电路框图和外引脚排列图分别如图 2.9.1 和图 2.9.2 所示。它内部包括两个电压比较器,三个等值串联电阻,一个RS 触发器,一个放电管T 及功率输出级。它提供两个基准电压VCC /3 和2VCC /3

图8-1 555定时器内部方框图 <555定时器内部结构图> 555电路的工作原理 555电路的内部电路方框图如图8-1所示。它含有两个电压比较器,一个基本RS触发器,一个放电开关T,比较器的参考电压由三只5KΩ的电阻器构成分压,它们分别使高电平比较器A1同相比较端和低电平比较器A2的反相输入端的参考电平为和。A1和A2的输出端控制RS触发器状态和放电管开关状态。当输入信号输入并超过时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于时,触发器置位,555的3脚输出高电平,同时放电,开关管截止。 是复位端,当其为0时,555输出低电平。平时该端开路或接VCC。 Vc是控制电压端(5脚),平时输出作为比较器A1的参考电平,当5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种

万里学院-数字电子技术-第五章习题及参考答案

第五章习题 1.题图5-1所示电路是用两片555构成的脉冲发生器,试画出Y 1和Y 2两处的输出波形,并标注主要参数(参数只需估算)。 R 1 C 133k R 233k 10 题图5-1 2.题图5-2所示的 555定时器构成的单稳态触发器及输入v I 的波形,求: (1)输出信号v O 的脉冲宽度T W ; (2)对应v I 画出v C 、v O 的波形,并标明波形幅度。 v I /V CC /3 v I v O 题图5-2 3.由555定时器组成的多谐振荡器如图5-3所示,已知V DD =12V 、C =0.1μF 、R 1=15k Ω、 R 2=22k Ω。试求:(1)多谐振荡器的振荡周期;(2)画出的v C 和v O 波形。 v O /V v C /V 00 t R C v v O R 题图5-3

4.由555定时器、3位二进制加计数器、理想运算放大器A 构成如题图5-4所示电路。设计数器初始状态为000,且输出低电平V OL =0 V ,输出高电平V OH =3.2 V ,R d 为异步清零端,高电平有效。 (1)说明虚框(1)、(2)部分各构成什么功能电路?(2)虚框(3)构成几进制计器? (3)对应CP 画出v O 波形,并标出电压值。 题图5-4 5.用集成芯片555构成的施密特触发器电路及输入波形i v 如题图5-5所示,要求: (1)求出该施密特触发器的阈值电压V T +、V T -;(2)画出输出v o 的波形。 v I /V t v O /V v v O 题图5-5 6.用集成定时器555构成的电路及可产生的波形如题图5-6(a )、(b )所示,试回答: (1)该电路的名称;(2)指出(b )图中v C 波形是1~8引脚中,哪个引脚上的电压波形; (3)求出矩形波的宽度t W 。

数电试题库试卷

1.将二进制数化为等值的十进制和十六进制: (1100101) 2=(101) 10 =(65) 16 2.写出以下二进制数的原码和补码: (-1011)2=(11011) 原=(10101) 补 3.输出低电平有效的 3 线–8线译码器的输入为110 时, 其8 个输出端Y 7~ Y0的电平依次为。 4.写出J、K触发器的特征方程:Q*J Q KQ; 5.TTL 集电极开路门一定外接 __上拉电阻 ______才能正常工作。 A)。 2. 使逻辑函数F(A' B')(B C')( A' C)为0的逻辑变量组合为( D ) A. ABC=000 B. ABC=010 C. ABC=011 D. ABC=110 3.标准或 - 与式是由( C)构成的逻辑表达式。 A.与项相或 B.最小项相或 C.最大项相与 D.或项相与4. 基本 R、S触发器,则其输入端R、 S 应知足的拘束条件为(B)。 由或非门构成的 A. R+S=0B. RS=0C. R+S=1D.RS=1 5.一个8 选一数据选择器的地点输入端有(C)个。 A.1 B.2 C.3 D.8 6.RAM的地点线为 A .16×32 位16 条,字长为 32,则此 B. 16K×32位 C. 32K RAM的容量为( ×32 位 D.64K D)。 ×32 位 7.要使JK 触发器在时钟作用下的次态与现态相反,JK 端取值应为( D )。 A.JK=00 B. JK=01 C. JK=10 D. JK=11 8.用8个触发器能够记忆(D)种不一样状态. A.8B.16C. 128D. 256 9.多谐振荡器能够产生以下哪一种波形 ( B ) A. 正弦波 B.矩形脉冲 C. 三角波 D. 锯齿波 10.输出在每个时钟周期翻转一次的触发器是(A)。 A. T ′触发器 B. T触发器 C. D触发器 D. JK触发器

相关主题
文本预览
相关文档 最新文档