当前位置:文档之家› 北京交大单片机课程设计8x8点阵实验报告

北京交大单片机课程设计8x8点阵实验报告

北京交大单片机课程设计8x8点阵实验报告
北京交大单片机课程设计8x8点阵实验报告

点阵式LED显示器

一、实验目的

1.了解点阵式LED显示原理。

2.掌握单片机与8×8点阵块之间接口电路设计及编程。

3.掌握8155工作原理及与单片机的接口电路设计。

二、设计任务及要求

系统设计要求:

利用8×8点阵显示屏,自己设计、焊接并调试电路板,实现在单片机的控制下,点阵显示屏显示“电子设计”,显示方式分别为单字循环显示、左右滚动显示、上下滚动显示。

系统功能说明:

系统在正常工作模式下为单字循环显示“电子设计”四个字,当按下第一个开关时,点阵显示屏开始上下滚动显示“电子设计”,循环显示三次。而当按下第二个开关时,点阵显示屏开始左右滚动显示“电子设计”,循环显示三次。当按下复位开关时,单片机复位,程序重新开始。

三、工作原理及设计思路

(1)电路原理图:点阵显示模块的硬件电路设计如图1所示:

图1

复位电路的基本功能是:系统上电时提供复位信号,在加电瞬间,RST端出现一定时间的高电平,直至系统电源稳定后,撤销复位信号。如下图:

晶振电路如下图:

(2)电路设计原理:

8X8点阵共由64个发光二极管组成,且每个发光二极管是放置在行线和列线的交叉点上,当对应的某一列置0电平,某一行置1电平,则相应的二极管就亮;8X8点阵的列输入为C0~C7,行输入为R0~R7,它们通过驱动电路(芯片1416及74LS245)接至发光二极管的阴极和阳极。要显示某一个字,首先要设计该字的点阵字形,编好行(或列)点阵码,然后在单片机的控制下对点阵进行逐行(或列)扫描。在人眼的暂存效应下我们会看到完整的字形,从而实现了字体在点阵显示屏上的输出。

(3)设计思路:

由于只控制8*8点阵显示屏,故不需要扩展单片机的I/O接口。考虑到P0口需要接上拉电阻,使得电路较为复杂,因此利用单片机的P1口来控制点阵的行,用P2口控制点阵的列。

(4)芯片原理

1.单片机STC89C51RC引脚功能:

4KB程序存储器,512B的数据存储器,中断源8个,定时器3个,32位I/O口,有看门狗电路。

STC89C51RC/RD+系列单片机ISP编程原理

2.74HC245

管脚图如下:

芯片中数据传输方向:

根据所设计的电路原理图,数据由A口传向B口,故应使=0,DIR=1。数据从A

口输出后需接1K电阻,再接到点阵的行。

3.MC1416BP

管脚图如下:

由于需要八个数据传送口,故应有两片MC1416BP,任选其中八个即可。此芯片不用接电源,8脚接地。数据直接接到点阵的列。

(5)字模表生成:

字模由LEDDOT生成,字模的提取方式为:逐行提取,

字模的显示方式为:单字单行显示,生成的字模格式:C51十六进制

以下是生成的字模表:

/*这是“电”的字模;该字模由LEDDOT生成;字模的提取方式为:逐行提取;字模的显示方式为:单字单行显示;生成的字模格式:C51十六进制 */

int8u gRowBuff1[8] = {0x10,0xFE,0x92,0xFE,0x92,0xFE,0x91,0x1F};

/*这是“子”的字模;该字模由LEDDOT生成;字模的提取方式为:逐行提取;字模的显示方式为:单字单行显示;生成的字模格式:C51十六进制 */

int8u gRowBuff2[8] = {0xFE,0xC,0x10,0xFF,0x10,0x10,0x10,0x30};

/*这是“设”的字模;该字模由LEDDOT生成;字模的提取方式为:逐行提取;字模的显示方式为:单字单行显示;生成的字模格式:C51十六进制 */

int8u gRowBuff3[8] = {0xDC,0x54,0xF7,0x7E,0x52,0x7C,0x4C,0x37};

/*这是“计”的字模;该字模由LEDDOT生成;字模的提取方式为:逐行提取;字模的显示方式为:单字单行显示;生成的字模格式:C51十六进制 */

int8u gRowBuff4[8] = {0xC4,0x44,0xBF,0x44,0x44,0x64,0x44,0x04};

上下循环:

int8u gRowBuffa[]=

{

0x04,0x7F,0x49,0x7F,0x49,0x7F,0x84,0xF4,0x00, //电

0x7E,0x20,0xFE,0x10,0x10,0x10,0x14,0x10,0x00, //子

0x72,0xDF,0x05,0xF5,0x54,0x24,0x5C,0x85,0x00, //设

0x22,0x27,0xFC,0x24,0x24,0x24,0x2C,0x24,0x00 //计

};

左右循环:

int8u gRowBuff[]=

{

0xC0,0xBE,0xAA,0xAA,0x7F,0x2A,0x2A,0x3E,0x00, //电

0x04,0x04,0x05,0x45,0xFD,0x07,0x05,0x02,0x00, //子

0x02,0x03,0xFE,0xCA,0x5B,0x29,0x5B,0x8A,0x00, //设

0x02,0x03,0xFE,0x88,0xD8,0x7F,0x08,0x08,0x00 //计

};

四、软件设计

(1)设计说明:

主函数中共分为三部分,主循环为单字循环显示,外部中断0的中断函数为上下循环,外部中断1的中断函数为左右循环。采用边沿触发方式产生中断,每次中断都循环显示“电子设计”。

(2)软件参数设置:

1.Keil软件:

在Keil中选择AT89C52进行仿真,选择频率为11MHZ,如下图:

选择仿真输出.hex文件,方便进行烧录,如下图:

设置Debug,如下图:

2.STC烧录软件:

(3)源程序:

#include

#define Row P1

#define Col P2

#define ResetBit(byte,i) ((byte) &= (0x01<<(i)));

typedef unsigned int int16u;

typedef unsigned char int8u;

/*************************************************

模块级变量申明——单字循环显示

*************************************************/

/*这是“电”的字模;该字模由LEDDOT生成;字模的提取方式为:逐行提取;字模的显示方式为:单字单行显示;生成的字模格式:C51十六进制*/

int8u gRowBuff1[8] = {0x04,0x7F,0x49,0x7F,0x49,0x7F,0x84,0xF4};

/*这是“子”的字模;该字模由LEDDOT生成;字模的提取方式为:逐行提取;字模的显示方式为:单字单行显示;生成的字模格式:C51十六进制*/

int8u gRowBuff2[8] = {0x7E,0x20,0xFE,0x10,0x10,0x10,0x14,0x10};

/*这是“设”的字模;该字模由LEDDOT生成;字模的提取方式为:逐行提取;字模的显示方式为:单字单行显示;生成的字模格式:C51十六进制*/

int8u gRowBuff3[8] = {0x72,0xDF,0x05,0xF5,0x54,0x24,0x5C,0x85};

/*这是“计”的字模;该字模由LEDDOT生成;字模的提取方式为:逐行提取;字模的显示方式为:单字单行显示;生成的字模格式:C51十六进制*/

int8u gRowBuff4[8] = {0x22,0x27,0xFC,0x24,0x24,0x24,0x2C,0x24};

/*************************************************

模块级变量申明——上下循环

*************************************************/

int8u gRowBuffa[]=

{

0x04,0x7F,0x49,0x7F,0x49,0x7F,0x84,0xF4,0x00,

0x7E,0x20,0xFE,0x10,0x10,0x10,0x14,0x10,0x00,

0x72,0xDF,0x05,0xF5,0x54,0x24,0x5C,0x85,0x00,

0x22,0x27,0xFC,0x24,0x24,0x24,0x2C,0x24,0x00

};

/*************************************************

模块级变量申明——左右循环

*************************************************/ int8u gRowBuff[]=

{

0xC0,0xBE,0xAA,0xAA,0x7F,0x2A,0x2A,0x3E,0x00,

0x04,0x04,0x05,0x45,0xFD,0x07,0x05,0x02,0x00,

0x02,0x03,0xFE,0xCA,0x5B,0x29,0x5B,0x8A,0x00,

0x02,0x03,0xFE,0x88,0xD8,0x7F,0x08,0x08,0x00

};

int8u i,j,n,s;

int16u sec;

void Delay1(void);

void Delay2(void);

/************************************************* 子函数定义

*************************************************/ void main(void)

{

IT0=1; //INT0边沿触发

IT1=1; //INT1边沿触发

EA=1; //允许所有中断

EX0=1; //允许INT0中断

EX1=1; //允许INT1中断

while(1)

{

Col = 0xff; //屏幕清零

sec++;

if(sec <= 200) //每个汉字显示四秒;

{

for(i=0;i<8;i++)

{

Col = 0xff;

ResetBit(Col,i); //扫描第i列;

Row = gRowBuff1[i]; //送显示码;

Delay1();

}

}

else if((sec > 200) && (sec <= 400))

{

for(i=0;i<8;i++)

{

Col = 0xff;

ResetBit(Col,i); //扫描第i列;

Row = gRowBuff2[i];

Delay1();

}

}

else if((sec > 400)&&(sec <= 600))

{

for(i=0;i<8;i++)

{

Col = 0xff;

ResetBit(Col,i); //扫描第i列;

Row = gRowBuff3[i];

Delay1();

}

}

else if((sec > 600)&&(sec <= 800))

{

for(i=0;i<8;i++)

{

Col = 0xff;

ResetBit(Col,i); //扫描第i列;

Row = gRowBuff4[i];

Delay1();

}

}

else if((sec>800)&&(sec<=801))

{

for(s=0;s<29;s++)

{

for(j=200;j>0;j--) //每屏显示时间{

for(i=0;i<8;i++)

{

Col = 0xff;

ResetBit(Col,i); //

Row = gRowBuffa[i+s]; //

Delay1();

}

}

}

}

else if((sec>801)&&(sec<=802))

{

for(s=0;s<29;s++)

{

for(j=100;j>0;j--) //每屏显示时间

{

for(i=0;i<8;i++)

{

Row = 0xff;

ResetBit(Row,(7-i)); //

Col= gRowBuff[i+s]; //

Delay2();

}

}

}

}

else

{

sec = 0;

}

}

}

void Delay1(void)

{

unsigned char i,j;

for(i=1;i>0;i--)

for(j=248;j>0;j--);

}

void Delay2(void)

{

unsigned char i,j;

for(i=2;i>0;i--)

for(j=248;j>0;j--);

}

/********************************************************

**** End Of File

*********************************************************/

五、调试及过程分析:

本次试验调试中出现了很多问题,首先是管脚座的误接很容易引发错误,这个经过自习排查之后可以排除,后面的则主要在于烧制,在烧程序的时候,我发现很多实验板烧完之后蜂鸣器响报警,但是经过排查之后,发现我使用的是P1口和P2口,对应了开发板上面的蜂鸣器,但是程序始终少不上去,经过多方试验之后通过找同学借到了一块可以烧制的。在最开始,本人买的单片机芯片为AT 系列的,后来发现AT的烧制必须要有相对应的烧制接口,并非串口烧制,所以在换了RST芯片之后就解决了。最后在于程序,程序的最大难度就在于字码表,最开始出现了左右相反的问题,经过调试之后,我画了一张8x8的点阵图,然后在图上画出相应的字就可以通过图轻松画出来了。

六、实验总结

这次实验经历了很多问题,一共焊了两块板子,感觉第一块主要是自己太过于急功近利,所以导致很多东西并不算是很懂的情况下就开始做了,其中的单片机试用了P0口作为输出,这个时候P0口的输出一般只有2.2v左右,需要使用上啦电阻才能实现正常功能,然后我就只有改用P1口,其次对于245芯片的不了解,因为其A通道到B通道使用的是不同的连接方式,在做第二块板子的时候,重新做的时候就好多了,而且经历了之前的失败,也让我小心很多避开了很多失误。

然后在于板子的程序方面,主要在于子码表,子码表其实原理很简单,我的方法就是自己画一个8x8的矩阵,然后自己把字画出来,然后把对应的点的标为1,然后写出其代码就可以了。只要仔细点就不会出现问题了。

整个实验我经历了很多,我慢慢也发现做单片机其实还是存在很多了去,经过仔细研究,我觉得单片机可以做更深层次的探究,而且最后经历了各种错误之后也学习到了很多东西,这几天通过网上查资料,问同学都又学习到了很多东西。也感谢在这次试验中给我提供帮助的各位老师和同学。

北交大毕业论文撰写要求

北京交通大学毕业论文撰写要求 一、毕业设计(论文)题目的类型 毕业设计(论文)的题目很多,大致可归纳为四大类型: 1.设计性课题。根据设计指导书,理解设计主导思想和基本要求,检索有关资料、制订初步设计方案进行设计。设计完成后还可通过制作与调试,分析结果可否满足设计要求,再对原设计做进一步的修改和完善。 2.应用性课题。该类课题又可分为硬件类、软件类和软硬件结合类。任务布置需要明确具体,软件类课题须有流程图,源程序和文档;硬件类课题须有技术指标,设计方案和电路原理图等相应图纸;实验过程和调试报告也应包含在毕业设计(论文)之中。 3.工程性课题。主要内容包括现场调研、方案比较、设备选型、施工计划、设备安装调试、开通、最终结论等。 4.研究性课题。追踪现代科学研究的最新发展,了解本领域中同行的研究情况,全面搜集各程相关资料,在消化吸收的基础上提出自己的观点和建议。 二、毕业设计(论文)选题、写作的要求 1. 毕业设计(论文)选题一定要结合学员自己工作实际选择自己较熟悉的专业方向,毕业论文所用数据、佐证资料尽量是本单位的。通过论文的写作,解决工作中所遇到的实际问题,达到提高学员业务水平的要求。

2.毕业论文选题要避免假、大、空,要具体并有针对性。 3. 论文写作不能抄袭,可以借鉴别人的观点,资料运用可以运用站细,但不能整篇不加整理地运用,要符合论文主题的要求,说明自己观点。 4. 论文写作过程中要熟读相关资料,在理解的基础上加以运用。论文的论点、论据、论证要通顺,具有一定的逻辑性。 5. 在论文写作过程中要熟知论文所涉及的专业知识,专业基础知识,特别要结合自己所从事的工作,对相关的专业基础和专业知识加以理解和掌握,例如:运输设备、铁道概论及专业方面的知识等。 6. 学员要亲自撰写毕业设计(论文) 三、毕业论文的规范要求 1.毕业论文的组成 毕业论文由封面、毕业设计(论文)成绩评议、毕业设计(论文)任务书、毕业设计(论文)开题报告、指导教师评阅意见、评阅教师意见、答辩小组评阅意见、中文摘要、英文摘要、目录、正文、参考文献、附录等十三部分组成。 封面:由学校统一印制,按要求填写。论文题目一般不超过25个字,要简练准确,可分二行书写; 任务书:装订于指定位置,指导教师签字后生效; 开题报告:由学生认真书写,经指导教师签字后的开题报告有效;

同济大学微机原理实验报告

《微机原理与接口技术》上机实验报告

《微机原理与接口技术》上机实验报告

实验报告:(包括目的、方法、原理、结果或实验小节等)。 一、实验目的 掌握简单并行接口的工作原理及使用方法。 二、实验内容 1、按下面图一简单并行输出接口电路图连接线路(74LS273插通用插座,74LS32用实验台上的“或门”)。74LS273为八D触发器,8个D输入端分别接数据总线D0~D7,8个Q输出端接LED显示电路L0~L7。 2、编程从键盘输入一个字符或数字,将其ASCⅡ码通过这个输出接口输出,根据8个发光二极管发光情况验证正确性。 3、按下面图二简单并行输入接口电路图连接电路(74LS244插通用插座,74LS32用实验台上的“或门”)。74LS244为八缓冲器,8个数据输入端分别接逻辑电平开关输出K0~K7,8个数据输出端分别接数据总线D0~D7。 4、用逻辑电平开关预置某个字母的ASCⅡ码,编程输入这个ASCⅡ码,并将其对应字母在屏幕上显示出来。 图一图二 三、实验中使用到的程序 对于简单并行输出接口: stack1 segment stack 'stack' dw 32 dup(0) stack1 ends data segment baseport equ 0ec00h-280h;实际基址 port equ baseport+2a8h;基址+偏移地址 data ends code segment assume ss:stack1,ds:data,cs:code start: mov ax,data mov ds,ax again: mov ah,1 int 21h

数电实验报告

数电实验报告

《数字电子技术》 实验报告 姓名:*** 班级:****888

学号:2014*******8 指导老师:**** 编制时间:2016.06.10 北京联合大学 实验一基本集成逻辑门电路功能分析一、实验目的 1.理解TTL和CMOS普通门电路的参数含义。 2.掌握TTL和CMOS普通门电路的使用方法。 3.掌握分析普通门电路逻辑功能的一般方法。 4.理解TTL和CMOS普通门电路参数的一般分析方法。 二、实验元器件 双四输入与非门 74LS00×1片 六反相器 74LS04×1片 电阻 300Ω×1只 三、实验内容

(一) TTL 双四输入与非门74LS00功能分析 (1)逻辑功能分析 参考图1.1连接电路。一只74LS00芯片中含有四个相同的2输入与非门,可以随意选用,此处选用的是第一个门电路。检查电路无误时方可通电。 图1.1 与非门逻辑功能测试电路 变换单刀双掷开关J1和J2的状态,用直流电压表测试电路的输出电压,将测试结果记入表1.1中。 表1.1 输入 输出 U 1/V U 2/V 实测值 逻辑值 0 0 5 5 0 5 5 5 5 5 5 U1A 7400N J2Key = A J1 Key = B VCC 5V 0.000 V +-

5 5 0 0 (2)电压传输特性分析 依照图1.3编辑电路。在0~5V 间逐步调整输入的直流电压,将随之变化的输出电压记入表1.2中。 图1.3 分析与非门电压传输特性仿真电路 表1.2 U I /V U O /V U I /V U O /V U I /V U O /V U I /V U O /V 5.0 0 3.8 0 2.6 0 1.4 5 4.8 0 3.6 0 2.4 5 1.2 5 4.6 0 3.4 0 2.2 5 1.0 5 4.4 0 3.2 0 2.0 5 0.8 5 4.2 0 3.0 0 1.8 5 0.4 5 4.0 0 2.8 1.6 5 5 5.000 V +-VSS U1A 7400N V2 1.8 V

北京邮电大学数电实验一实验报告

北京邮电大学数字电路与逻辑 设计实验 学院: 班级: 作者: 学号:

实验一 Quartus II原理图输入法设计 一、实验目的: (1)熟悉Quartus II原理图输入法进行电路设计和仿真 (2)掌握Quartus II 图形模块单元的生成与调 (3)熟悉实验板的使用 二、实验所用器材: (1)计算机 (2)直流稳压电源 (3)数字系统与逻辑设计实验开发板 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模 块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能, 并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 ,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、设计思路和过程 (1)半加器的设计 半加器电路是指对两个输入数据位进行加法,输出一个结果位和进位,不产生进位输入的加法器电路。是实现两个一位二进制数的加法运算电路。数据输入AI被加数、BI加数,数据输出SO和数(半加和)、进位C0。 在数字电路设计中,最基本的方法是不管半加器是一个什么样的电路,按组合数字电路的分析方法和步骤进行。 1.列出真值表 半加器的真值表见下表。表中两个输入是加数A0和B0,输出有一个是和S0,另一个是进位C0。

2 该电路有两个输出端,属于多输出组合数字电路,电路的逻辑表达式如下函数的逻辑表达式为:SO=AI⊕BI CO=AB 所以,可以用一个两输入异或门和一个两输入与门实现。

微机原理与接口技术实验报告

微机原理与接口技术实验报告

2

3

实验一:数据传送 实验学时:2 实验类型:验证 实验要求:必修 一.实验目的 1.学习程序设计的基本方法和技能,掌握用汇编语言设计、编写、调试和运行程序的方法; 学习用全屏幕编辑软件QEDIT.EXE建立源程序(.ASM文件); 学习用汇编软件MASM.EXE对源文件汇编产生目标文件(.OBJ文件); 学习用连接程序LINK.EXE对目标文件产生可执行文件(.EXE文件); 学习用调试软件TD.EXE调试可执行文件; 2.掌握各种寻址方法以及简单指令的执行过程。 二.实验器材 PC机 三.实验组织运行要求 1.利用堆栈实现AX的内容与BX的内容进行交换。堆栈指针SP=2000H,AX=3000H,BX=5000H; 2.汇编、调试、观察、记录结果; ⑴用QEDIT.EXE软件输入汇编语言源程序,以.ASM格式文件存盘; ⑵用MASM对源程序进行汇编产生二进制目标文件(.OBJ文件),再用连接程序LINK产生可执行文件(.EXE文件); ⑶用调试软件TD调试、运行程序,观察、记录结果。 四.实验步骤 1.进入子目录E:>\SY86后,利用QEDIT.EXE(简称Q)送入以下汇编语言源程序,并以M1.ASM文件存盘 ⑴汇编语言程序的上机过程 ①进入\SY86子目录 E:>CD\SY86 E:\SY86> ②进入QEDIT.EXE 编辑界面 E:\SY86> Q ③输入文件名*.ASM(如M1.ASM)后,输入源程序 源程序 DATA SEGMENT PARA PUBLIC’DATA’ ;数据段定义 DB 512 DUP(0) DATA ENDS STACK SEGMENT PARA STACK’STACK’ ;堆栈段定义 DB 512 DUP( ?) 4

西安交大微机原理实验报告1

实验一数据传送、算术运算、循环程序结构 实验目的: 1 ?熟悉8086汇编语言源程序的框架结构,并掌握汇编语言程序的编写、汇编、 连接、执行的过程,并利用 Turbo Debugger 调试汇编程序。 2. 熟悉8086指令系统的数据传送指令,掌握寻址方式。 3. 熟悉8086指令系统的算术运算指令。掌握循环结构汇编语言程序的编制。 实验内容: 1、P121 14 题 程序框图: DW 128 DUP ⑺ STACKS ENDS DATAS SEGMENT ;数据 DATA SEGMENT ORG 1000H BCD1 DD 90000010H,12345678H ORG 2000H BCD2 DD 90000020H,23456789H ;堆栈 段 源代码: STACKS SEGMENT STACK

DATA ENDS CODES SEGMENT ;代码段 ASSUME CS:CODES,DS:DATAS START: MOV AX,DATAS ;初始化 MOV DS,AX MOV CX,8 ; 循环次数 MOV BX,0 ; 指针 CLC J 进位清零 L00P1: MOV AL,[BX+1000H] ;BCD1 ADC AL,[BX+2000H] ;BCD1+BCD2 AAA J 分离修正 MOV [BX+2000H],AL ;移到要求的位置 INC BX ; 指针指向下一个子节 LOOP LOOP1 MOV AX,4C00H ;退出程 INT 21H CODES ENDS START 结果: 2、P121 15 题 程序框图: 源代码: STACKS SEGMENT STACK ;堆栈段 DW 128 DUP ⑺ STACKS ENDS DATAS SEGMENT ;数据段 END

数电实验报告一

姓名:谭国榕班级:12电子卓越学号:201241301132 实验一逻辑门电路的研究 一、任务 1.熟悉实验室环境及实验仪器、设备的使用方法。 2.掌握识别常用数字集成电路的型号、管脚排列等能力。 3.熟悉74 LS系列、CMOS 4000B系列芯片的典型参数、输入输出特性。 4.掌握常规数字集成电路的测试方法。 二、实验设备及芯片 双踪示波器(DF4321C)1台 信号发生器(DF1641B1)1台 数字万用表(UT58B)一台 数电实验箱1个(自制) 芯片2个:74LS04 CD4069 。 三、实验内容 1.查阅芯片的PDF文件资料,分清管脚名与逻辑功能的对应关系及对应的真值表。74LS04:

CD4069: 2.静态测试 验证6非门74LS04、4069逻辑功能是否正常,并用数字万用表测量空载输出的逻辑电平值(含高、低电平)。 结论:由表格可以看出,CD4069输出的高电平比74LS04高,输出的低电平比74LS04低,所以CD4069的噪声容限相对于74LS04来说较大,故其抗干扰能力强。 3.动态测试 测逻辑门的传输延迟时间:将74LS04、4069中的6个非门分别串接起来,将函数发生器的输出调为方波,对称,幅度:0-5V,单极性,加至第一个门的输入端,并用示波器的通道1观察;用示波器的通道2观察最后一个非门的输出信号,对比输入输出波形以及信号延迟时间。

调节方波信号:

74LS04输出延迟特性: CD4069输出延迟特性:

输出延迟时间的实验数据表: 结论:74LS04的输出延迟比CD4069的输出延迟要短,说明前者的工作速度比后者快。 4.观察电压传输特性 用函数发生器的输出单极性的三角波,幅度控制在5伏,用示波器的X-Y 方式测量TTL 、 CMOS 逻辑门的传输特性,记录波形并对TTL 、CMOS 两种类型电路的高电平输出电压、低电平输出电压以及噪声容限等作相应比较。 (1) 调节函数发生器的输出:单极性三角波,对称,幅度:5V ,频率:500Hz ,从函数发生 器的下部50Ω输出端输出信号; 如图: (2) 扫描方式改为X-Y ,CH1、CH2 接地,调光标使其处于左下角附近; (3) CH1 用 2.0V/DIV (DC ),接函数发生器输出(即非门的输入);CH2 用 0.2V/DIV (DC ),接非门输出。 (4) 记录示波器波形(如图)。

北京交通大学本科毕业设计规范

北京交通大学 本科生毕业设计(论文)规范 第一章 总则 第一条 为进一步规范本科生毕业设计(论文)工作,提高毕业设计(论文)质量,结合学校实际,特制订本规范。 第二条 毕业设计(论文)是本科培养方案的组成部分,是学生在教师指导下运用所学理论、知识和技能,分析解决理论和实际问题的综合训练环节,是培养和提高学生写作能力、实践能力和创新意识的重要途径。 第三条 毕业设计(论文)要体现学校人才培养的目标与要求。论文可结合专业特点,在体裁方面体现多样性,采取毕业设计、学术论文等形式进行。 第四条 教务处统一布置毕业设计(论文)工作,对各环节工作进行监督、协调和评价。学院负责毕业设计(论文)具体组织管理工作。 第二章 毕业设计(论文)选题 第五条 毕业设计(论文)的选题应符合专业培养目标,满足人才培养基本要求,使学生在专业知识应用方面得到比较全面的训练。论文题目应与社会、生产、科研和实验室建设等实际任务相结合,内容应属于学生所学专业或相关专业的范围。题目难度和工作量应适合学生的知识、能力、相应的实验条件和毕业设计所规定的时间,使学生经过努力能够完成。 学校鼓励学生结合国家大学生创新创业训练计划项目和北京市大学生科学研究与创业行动计划项目成果拟定毕业设计(论文)题目。学校鼓励采用实际项目作为毕业设计(论文)题目。 第六条 毕业设计(论文)选题要注重科学研究方法和创新方法的训练,综合考虑经济、环境、法律、安全、健康、伦理等制约因素。理论研究的选题应满足科学研究的基本规律,覆盖科学研究的各个方面。工程设计的选题要符合工程实际要求,涵盖工程设计的各个环节。 第七条 毕业设计(论文)要达到对学生进行全面综合训练的目的。一个学生一个题目。几个学生共同完成一个大课题时,每个学生必须要有独立完成的任

微机原理及应用实验报告

微机原理及其应用上机实验报告 实验一 程序调试实验(顺序结构程序设计) 一、实验目的: 1.学习及掌握汇编语言源程序的基本结构,明确程序中各段的功能和相互之间的关系。 2.熟练掌握在计算机上建立、汇编、连接、调试及运行程序的方法。 3、熟悉和掌握DEBUG 常用命令的使用 二、实验要求: 1、上机前,要认真阅读前言和课本相关章节 2、上机前,画好流程图,编写好程序 3、上机时,注意出现的错误,记录下出错信息,翻译之 4、完成好实验报告 三、实验内容: 在内存TAB 开始的16个单元连续存放了0-15的平方值(0-225),任给一个数X(0 ≤ X ≤ 15),求X 的平方值,并把结果存放在Y 单元中。 (2).分析 X 平方的值是tab 为首地址且x 的值为有效地址中的值。 data segment x db 8 y db data ends stack segment para'stack' db 100 dup(0) stack ends code segment assume cs:code,ds:data,ss:stack start:mov ax,data mov ds,ax xor ax,ax

mov al,x lea si,tab add si,ax mov al,[si] mov y,al mov ah,4ch int 21h code ends end start (3).程序调试: 4.心得体会 了解了顺序结构,掌握了程序的运行,调试。 实验二分支程序设计 一、实验目的: 熟悉运算类指令对标志位的状态影响以及标志位状态的表示方法;掌握条件转移、无条件转移指令的使用方法。掌握分支程序设计、编写、调试和运行的方法。 二、实验要求: 1、上机前认真分析题意,找出算法,画出流程图,依据流程图,编好程序。 2、认真调试程序,对程序可能存在的所有分支都要进行运行,只有这样才能证明程序的正确性。 二、实验内容

微机原理实验报告

西安交通大学实验报告 课程_微机与接口技术第页共页 系别__生物医学工程_________实验日期:年月日 专业班级_____组别_____交报告日期:年月日 姓名__ 学号__报告退发 ( 订正、重做 ) 同组人_教师审批签字 实验一汇编语言程序设计 一、实验目的 1、掌握Lab6000p实验教学系统基本操作; 2、掌握8088/8086汇编语言的基本语法结构; 3、熟悉8088/8086汇编语言程序设计基本方法 二、实验设备 装有emu8086软件的PC机 三、实验内容 1、有一个10字节的数组,其值分别是80H,03H,5AH,FFH,97H,64H,BBH,7FH,0FH,D8H。编程并显示结果: 如果数组是无符号数,求出最大值,并显示; 如果数组是有符号数,求出最大值,并显示。 2、将二进制数500H转换成二-十进制(BCD)码,并显示“500H的BCD是:” 3、将二-十进制码(BCD)7693转换成ASCII码,并显示“BCD码7693的ASCII是:” 4、两个长度均为100的内存块,先将内存块1全部写上88H,再将内存块1的内容移至内存块2。在移动的过程中,显示移动次数1,2 ,3…0AH…64H(16进制-ASCII码并显示子

程序) 5、键盘输入一个小写字母(a~z),转换成大写字母 显示:请输入一个小写字母(a~z): 转换后的大写字母是: 6、实现4字节无符号数加法程序,并显示结果,如99223344H + 99223344H = xxxxxxxxH 四、实验代码及结果 1.1、实验代码: DATA SEGMENT SZ DB 80H,03H,5AH,0FFH,97H,64H,0BBH,7FH,0FH,0D8H;存进数组 SHOW DB 'THE MAX IS: ','$' DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA START: MOV AX,DATA ;把数据的基地址赋给DS MOV DS,AX MOV DX,OFFSET SHOW ;调用DOS显示字符串 MOV AH,09H INT 21H MOV SI ,OFFSET SZ ;数组的偏移地址赋给SI MOV CX,10 ;存进数组的长度给CX MOV DH,80H ;将数组的第一个数写进DH NEXT: MOV BL,[SI] ;将数组的第一个数写进BL CMP DH,BL ;比较DH和BL中数的到校 JAE NEXT1 ;如果DH中的数大于BL中,将跳转到NEXT1 MOV DH,BL ;如果DH中的数小于BL中,将BL中的数赋给DH NEXT1: INC SI ;偏移地址加1 LOOP NEXT;循环,CX自减一直到0,DH中存数组的最大值 ;接下来的程序是将将最大值DH在屏幕上显示输出 MOV BX,02H NEXT2: MOV CL,4 ROL DH,CL ;将DH循环右移四位

北京交通大学数电报告

国家电工电子实验教学中心 数字电子技术 实验报告 李含笑 15211069 通信1503班

实验二基础实验计数器设计 一、实验目的 1.掌握同步计数器集成芯片74LS193的功能和使用方法。 2.掌握用集成计数器实现任意模数计数器。 3. 用十六进制计数器74LS193设计五进制减计数器(置位法) 二、实验器件 一个74LS193计数器(图2-1),它具有异步清零与异步预置的功能,不受时钟脉冲的影响,一个74LS00与非门芯片 UP为加计数时钟输入端; DOWN为减计数时钟输入端; CLR为清零输入端; BO为借位输出端; CO为进位输出端; LOAD为置数输入端; A~B为并行输入端; Q A~Q D为计数输出端 图2-174LS193芯片管脚图 表2-2 74ls193功能表

三、实验内容和实验原理 74LS193 是一个异步清零,异步预置的可逆计数器,当UP接时钟端(上升沿触发),DOWN 接高电平的时候,实现加法计数,当DOWN接时钟端(上升沿触发),UP接高电平时,实现减法计数。 本实验实现模5减法计数,用预置法,74LS193是异步预置,因此要有6个状态,其中一个为暂存态(过渡态)。预置初值为0100,当其减至1111时,由于最高位接了与非门,因此与非门产生低电平0,送至LD端,LD低电平有效,进行预置,输出变为0100。 四、实验电路原理图 图2-2 实验仿真图 图2-3 实验仿真图

图2-4 五进制减法计数器原理图 五、实验过程 图2-5 图2-6 图2-7图2-8图2-9 六、数据分析和结论 同步计数器与异步计数器的区别在于异步计数器的的每个触发器不是由同一个脉冲来控制的,同步计数器的每个触发器都是由同一个脉冲来控制的

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

2018年北京交通大学本科论文论文格式模板

本科毕业设计(论文) 基于人工智能的论文排版系统研究 Research on Kuai65 Typesetting System Based on Artificial Intelligence 学院:信息学院 专业:计算机 学生姓名:快论文 学号:20135091612 指导教师:* * * 教授 北京交通大学 2017年9月

学士论文版权使用授权书 本学士论文作者完全了解北京交通大学有关保留、使用学士论文的规定。特授权北京交通大学可以将学士论文的全部或部分内容编入有关数据库进行检索,提供阅览服务,并采用影印、缩印或扫描等复制手段保存、汇编以供查阅和借阅。 (保密的学位论文在解密后适用本授权说明) 学位论文作者签名:指导教师签名: 签字日期:年月日签字日期:年月日

摘要 快论文(https://www.doczj.com/doc/224464154.html,)是一款专业的毕业论文在线排版系统,上传论文草稿,选定学校模板,点击一键排版,只需几分钟就可完成论文排版,免费下载预览,满意后付款。快论文平台现已汇集了全国617所高校权威毕业论文模板,均源自各校官方最新发布的毕业论文撰写规范,基本涵盖了各类高校毕业论文格式要求。 据统计,毕业论文排版涉及的几十项格式设置中,80%的操作都属于不常用操作,因此绝大多数同学以前没用过,以后用到的概率也很低,但为了达到排版的规范,却需要花费大量的时间去解读论文撰写规范和学习这些不常用的word操作。面对复杂的格式规范,大多数同学熬夜反复调整修改却还是存在各种各样的问题。 基于人工智能的快论文排版系统,剔除了人们手动排版时不可避免的误操作,和由于视觉疲劳导致的错漏等,较之传统的人工排版方式,质量更可靠,价格更优惠,速度更快捷。快论文平台秉持人性化的设计理念,在充分研究分析人们的操作习惯的基础上,针对应届毕业的大学生,充分考虑其个性需求,设计并开发完成了一个界面简洁、功能强大、操作便捷的毕业论文排版和编辑系统,帮助大学生提高毕业论文写作效率和提升毕业论文质量。 快论文根据各个高校官方的论文写作规范要求,分别构建了属于各高校自己的定制模板,更准确,更便捷,是国内最大的毕业论文排版平台。 关键词:快论文;专业排版;质量可靠;价格优惠;值得信赖

微机原理实验报告

微机原理 实验报告 学校:湖北文理学院、班级:电子1413 姓名:杨仕浩 学号:2014111347 指导老师:吉向东

实验一两个多位十进制数相加的实验 一、实验目的 学习数据传送和算术运算指令的用法 熟悉在PC机上建立、汇编、链接、调试和运行汇编语言程序的过程。 二、实验内容 将两个多位十进制数相加,要求被加数和加数均以ASCII码形式各自顺序存放在以DATA1、DATA2为首的5个内存单元中(低位在前),结果送回DATA1处。 三、程序框图 四、实验程序清单 DATA SEGMENT DATA1 DB 33H,39H,31H,37H,34H;被加数 DATA1END EQU $-1 DATA2 DB 34H,35H,30H,38H,32H;加数 DATA2END EQU $-1 SUM DB 5 DUP(?) DATA ENDS STACK SEGMENT STA DB 20 DUP(?)

TOP EQU LENGTH STA STACK ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACK,ES:DATA START: MOV AX,DATA MOV DS,AX MOV AX,STACK MOV SS,AX MOV AX,TOP MOV SP,AX MOV SI,OFFSET DATA1END MOV DI,OFFSET DATA2END CALL A DDA MOV AX,4C00H INT 21H ADDA PROC NEAR MOV DX,SI MOV BP,DI MOV BX,05H AD1: SUB BYTE PTR [SI],30H SUB BYTE PTR [DI],30H DEC SI DEC DI DEC BX JNZ AD1 MOV SI,DX MOV DI,BP MOV CX,05H CLC AD2: M OV AL,[SI] MOV BL,[DI] ADC AL,BL AAA MOV [SI],AL DEC SI DEC DI LOOP AD2 MOV SI,DX MOV DI,BP MOV BX,05H AD3: ADD BYTE PTR [SI],30H ADD BYTE PTR [DI],30H DEC SI

微机原理实验四实验报告 8255控制交通灯实验

实验四 8255控制交通灯实验 一、实验目的与要求 1、了解8255芯片的工作原理,熟悉其初始化编程方法以及输入、输出程序设计技巧。学会使用8255并行接口芯片实现各种控制功能,如本实验(控制交通灯)等。 2、熟悉8255内部结构和与8088的接口逻辑,熟悉8255芯片的3种工作方式以及控制字格式。 3、认真预习本节实验内容,尝试自行编写程序,填写实验报告。 二、实验设备 STAR系列实验仪一套、PC机一台 三、实验内容 1、编写程序:使用8255的PA0.. 2、PA4..6控制LED指示灯,实现交通灯功能。 2、连接线路验证8255的功能,熟悉它的使用方法。 四、实验原理图 五、实验步骤 六、演示程序

.MODEL TINY COM_ADD EQU 0F003H PA_ADD EQU 0F000H PB_ADD EQU 0F001H PC_ADD EQU 0F002H .STACK 100 .DATA LED_Data DB 01111101B ;东西绿灯,南北红灯DB 11111101B ;东西绿灯闪烁,南北红灯 DB 10111101B ;东西黄灯亮,南北红灯 DB 11010111B ;东西红灯,南北绿灯 DB 11011111B ;东西红灯,南北绿灯闪烁 DB 11011011B ;东西红灯,南北黄灯亮 .CODE START: MOV AX,@DATA MOV DS,AX NOP MOV DX,COM_ADD MOV AL,80H ;PA、PB、PC为基本输出模式 OUT DX,AL MOV DX,PA_ADD ;灯全熄灭 MOV AL,0FFH OUT DX,AL LEA BX,LED_Data START1: MOV AL,0 XLAT OUT DX,AL ;东西绿灯,南北红灯 CALL DL5S MOV CX,6 START2: MOV AL,1 XLAT OUT DX,AL ;东西绿灯闪烁,南北红灯 CALL DL500ms MOV AL,0 XLAT OUT DX,AL CALL DL500ms LOOP START2 MOV AL,2 ;东西黄灯亮,南北红灯 XLAT OUT DX,AL CALL DL3S MOV AL,3 ;东西红灯,南北绿灯

北邮数电实验报告

北京邮电大学实验报告 实验名称:数字电路与逻辑设计实验报告 学院:信息与通信工程学院 班级: 姓名: 学号: 序号: 日期:

实验三:用VHDL语言设计与实现逻辑电路 一、实验内容 1. 用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验版测试。要求用按键设定输入信号,发光二极管显示输出信号; 2.用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器,仿真验证其功能; 3.将(1),(2)和数码管译码器3个电路进行连接,并下载到实验板显示计数结果。 二、模块端口说明及连接图 1.分频器 2. 计数器 clk: 时钟输入信号 clk: 时钟信号输入 clear: 复位信号输入 clear: 复位信号输入 clk_out: 时钟分频后的信号输出 q: 计数器的输出 3.数码管显示 b: 数码管的输入信号 seg: 译码显示输出 onoff: 数码管的输出控制

4.连接图 三、实验分析 1.设计思路 本实验将之前的分频器和计数器以及数码管显示模块组合起来,实现了单个数码管现显示0~9,每隔0.5s切换一次显示内容。 COMPONENT div_12实现了时钟分频,将50MHz的单片机晶振时钟进行分频,输出频率2HZ占空比50%的方波时钟,以此时钟作为内部时钟驱动计数器。 COMPONENT jishuqi是一个十进制计数器,NUM从“0000”到“1001”循环变化,模为10。计数器的输出传递给数码管译码显示电路。 COMPONENT seg7_1是数码管译码显示电路,将收到的信号NUM译码并控制数码管的段锁存来控制数码管的显示。 整体来看,div-12提供了分频后2Hz的时钟,驱动计数器计数,计数的结果作为数码管译码显示模块的输入,根据计数器实时的数进行数码管的显示。综合起来就实现了设计的功能。 在进行电路的连接时,可直接在代码中分成三个进程来实现,也可通过为每个模块建立符号,连接电路图来实现。 2. 具体代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpinjishu IS PORT( clear2 :IN STD_LOGIC; clk1:IN STD_LOGIC; b1:OUT STD_LOGIC_VECTOR(6 downto 0); CAT:OUT STD_LOGIC_VECTOR(7 downto 0) );

数电实验报告

选课时间段: 序号(座位号): 杭州电子科技大学 实验报告 课程名称: 数字原理与系统设计实验 实验名称: 组合电路时序分析与自动化设计 指导教师: 学生姓名 学生学号 学生班级 所学专业 实验日期

实验一、设计8位串行进位加法器电路设计: 一位全加器: 八位串行进位加法器:

仿真波形:

实验二、设计5人表决电路 代码: module BJDL45(A,B,C,D,E,YES,NO); input A,B,C,D,E; output YES,NO; reg YES,NO; always@ (A,B,C,D,E,YES,NO) case ({A,B,C,D,E}) 5'B00000:{YES,NO}<=2'B01; 5'B00001:{YES,NO}<=2'B01; 5'B00010:{YES,NO}<=2'B01; 5'B00011:{YES,NO}<=2'B01; 5'B00100:{YES,NO}<=2'B01; 5'B00101:{YES,NO}<=2'B01; 5'B00110:{YES,NO}<=2'B01; 5'B00111:{YES,NO}<=2'B10; 5'B01000:{YES,NO}<=2'B01; 5'B01001:{YES,NO}<=2'B01; 5'B01010:{YES,NO}<=2'B01; 5'B01011:{YES,NO}<=2'B10; 5'B01100:{YES,NO}<=2'B01; 5'B01101:{YES,NO}<=2'B10; 5'B01110:{YES,NO}<=2'B10; 5'B01111:{YES,NO}<=2'B10; 5'B10000:{YES,NO}<=2'B01; 5'B10001:{YES,NO}<=2'B01; 5'B10010:{YES,NO}<=2'B01; 5'B10011:{YES,NO}<=2'B10; 5'B10100:{YES,NO}<=2'B01; 5'B10101:{YES,NO}<=2'B10; 5'B10110:{YES,NO}<=2'B10; 5'B10111:{YES,NO}<=2'B10; 5'B11000:{YES,NO}<=2'B01; 5'B11001:{YES,NO}<=2'B10; 5'B11010:{YES,NO}<=2'B10; 5'B11011:{YES,NO}<=2'B10; 5'B11100:{YES,NO}<=2'B10; 5'B11101:{YES,NO}<=2'B10; 5'B11110:{YES,NO}<=2'B10; 5'B11111:{YES,NO}<=2'B10; default: {YES,NO}<=2'B10; endcase

微机原理及应用实验报告

微机原理及应用实验报告标准化文件发布号:(9312-EUATWW-MWUB-WUNN-INNUL-DQQTY-

微机原理及应用实验报告 班级: 姓名: 学号: 中南大学 机电工程学院精密测控实验室

实验二软件程序设计 1.实验目的: 1、掌握MCS-51单片机指令系统及用汇编语言编程技巧; 2、了解和熟悉用MCS-51单片机仿真开发机调试程序的方法。 2.实验内容: 1、编写排序程序并上机调试通过。 已知8031内部RAM60H~69H单元中,依次存放了 FFH,99H,77H,CCH,33H,DDH,88H,BBH,44H,EEH,它们均为无符号数,编程 将它们按递减次序排序,即最大数放在60H中,最小数放在69H中。 2.、编写多字节加法程序并上机调试通过。 8031内部RAM20H~22H单元中,存放了3字节被加数(低字节在前),在2AH~2CH单元中存放3字节加数(低字节在前),求两数之和,并将结 果存入以20H为起始地址的区域中(低字节在前)。 3.实验设备名称、型号: 4.画出软件程序流程图,写出上机调试通过的汇编语言程序清单: 程序1、编写排序程序并上机调试通过。 已知8031内部RAM60H~69H单元中,依次存放了 FFH,99H,77H,CCH,33H,DDH,88H,BBH,44H,EEH,它们均为无符号数,编程 将它们按递减次序排序,即最大数放在60H中,最小数放在69H中。

解:本设计采用冒泡排序法,使用双重循环,并在内循环中进行比较如果合乎从大到小的顺序则不动,否则两两交换,这样比较下去,比较9次 后,最小的那个数就会沉底,在下一次比较时将减少一次比较次数。如 果一次比较完毕,没有发生交换,说明已经按照从大到小的顺序排列 了。则可以退出循环,结束程序。 程序结构框图和程序代码如下:

北京交通大学微机原理实验报告

微机原理 实 验 报 告 隋伟 08212013 自动化0801

目录 一、I/O地址译码与交通灯控制实验 (3) 二、可编程定时器/计数器(8253) (6) 三、中断实验(纯DOS) (11) 四、模/数转换器………………………………………………………… 18 五、串行通讯…………………………………………………………… 16 六、课程综合实验(抢答器) …………………………………………… 28 七、自主设计实验——LED显示 (32) 八、参考文献 (35)

一、I/O地址译码与交通灯控制实验 一.实验目的 通过并行接口8255实现十字路口交通灯的模拟控制,进一步掌握对并行口的使用。 二.实验内容 如图5-3,L7、L6、L5作为南北路口的交通灯与PC7、PC6、PC5相连,L2、L1、L0作为东西路口的交通灯与PC2、PC1、PC0相连。编程使六个灯按交通灯变化规律燃灭。 十字路口交通灯的变化规律要求: (1)南北路口的绿灯、东西路口的红灯同时亮3秒左右。 (2)南北路口的黄灯闪烁若干次,同时东西路口的红灯继续亮。 (3)南北路口的红灯、东西路口的绿灯同时亮3秒左右。 (4)南北路口的红灯继续亮、同时东西路口的黄灯亮闪烁若干次。 (5)转(1)重复。 8255动态分配地址: 控制寄存器: 0C40BH A口地址: 0C408H C口地址: 0C40AH

三.程序流程图和程序清单 DATA SEGMENT X DB ? DATA ENDS STACK1 SEGMENT STACK DW 100H DUP(0) STACK1 ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACK1 START: MOV AX,DATA MOV DS,AX ;---------------INIT---------------- MOV DX,0C40BH ;写控制字

数电实验报告

国家电工电子实验教学中心数字电子技术基础 实验报告 实验题目:中频自动增益控制数字电路的研究 学院:电子信息工程学院 班级: 学生姓名: 学号: 任课教师:骆丽 同组成员: 2015年11月17日

目录 1 设计任务要求 (1) 2 设计方案及论证 (1) 2.1 任务分析 (1) 2.2 方案比较 (3) 2.3 系统结构设计 (5) 2.4 具体电路设计 (8) 3 制作及调试过程 (16) 3.1.1 制作及调试过程 (16) 3.1.2 遇到的问题和解决方法 (17) 3.2.1 仿真过程 (17) 3.2.2 制作及调试过程 (19) 3.2.3 实验结果 (20) 3.2.4 遇到的问题和解决方法 (20) 4 实验研究与思考 (21) 5 总结 (23) 5.1 本人所做工作 (23) 5.2 收获体会 (23) 5.3 对本课程的建议 (23) 6 参考文献 (23)

1 设计任务要求 【实验目的】 1.掌握中频自动增益数字电路设计可以提高学生系统地构思问题和解决问题的能力。 2.通过自动增益数字电路实验可以系统地归纳用加法器、A/D和D/A转换电路设计加法、减法、乘法、除法和数字控制模块电路技术。 3.培养学生通过现象分析电路结构特点,进而改善电路的能力。 【基础实验】 (1)用加法器实现2位乘法电路 (2)用4位加法器实现可控累加(加/减,-9到9,步长为3)电路。最大数字和为两位10进制数18。(要求二进制转化为十进制电路设计不能用模块74185) 【发挥部分】 (1)设计一个电路,输入信号50mV到5V峰峰值,1KHZ~10KHZ的正弦波信号,输出信号为3到4V的同频率,不失真的正弦波信号。精度为8位,负载500Ω。 (2)发挥部分中,若输出成为直流,电路如何更改。 2 设计方案及论证 2.1 任务分析 【基础部分】 用加法器实现2位乘法电路 2位乘法电路是典型的组合电路。设两位二进制分别为A1,A0和B1,B0,输出为S3,S2,S1,S0。根据乘法展开式,如图2-1,要实现2位乘法电路,可以使用与门将两个信号相与来实现乘运算,再通过加法器实现加和运算。最后通过输入高低电平,在数码管上观察乘积结果进行验证。

相关主题
文本预览
相关文档 最新文档