当前位置:文档之家› 硬件描述语言与FPGA技术实验指导书(2012版)

硬件描述语言与FPGA技术实验指导书(2012版)

硬件描述语言与FPGA技术实验指导书(2012版)
硬件描述语言与FPGA技术实验指导书(2012版)

《硬件描述语言与FPGA技术》

实验指导书

西北工业大学

2012/10/10

目录

实验一简单的组合逻辑设计 (3)

实验二简单分频时序逻辑电路的设计 (6)

实验三利用条件语句实现计数分频时序电路 (9)

实验四阻塞赋值与非阻塞赋值的区别 (12)

实验五用always块实现较复杂的组合逻辑电路 (16)

实验六在Verilog中使用函数 (20)

实验七在Verilog HDL中使用任务(task) (23)

实验八利用有限状态机进行时序逻辑的设计 (27)

实验九利用状态机实现比较复杂的接口设计 (32)

练习十利用SRAM设计一个FIFO (39)

实验一简单的组合逻辑设计

一、实验目的

1. 学习Quartus和ModSim两种EDA工具的使用方法;

2.掌握基本组合逻辑电路的实现方法;

3.初步了解两种基本组合逻辑电路的生成方法;

4.学习测试模块的编写;

5.通过综合和布局布线了解不同层次仿真的物理意义。

二、实验内容

本次实验采用Verilog HDL语言设计一个可综合的数据比较器,其功能是比较数据a与数据b的结果,如果两个数据相同,则输出结果1,否则给出结果0;并写出测试模型,使其进行比较全面的测试。

三、实验仪器、设备

预装了开发工具ModelSimSE、synplify的PC机。

四、实验原理

1.组合逻辑电路是指在任何时刻,输出状态只决定于同一时刻各输入状态的组合而与

电路以前状态无关,而与其他时间的状态无关。其逻辑函数如下:

Li=f(A1,A2,A3……An) (i=1,2,3…m)

其中,A1~An为输入变量,Li为输出变量。

2.组合逻辑电路的特点归纳如下:

① 输入、输出之间没有返馈延迟通道;

② 电路中无记忆单元。

3.组合逻辑设计示例:可综合的数据比较器。它的功能是比较数据a与数据b,如果

两个数据相同,则给出结果1,否则给出结果0。描述组合逻辑时常使用assign

结构。注意equal=(a==b)?1:0,这是一种在组合逻辑实现分支判断时常使用的格

式。

模块源代码:

//--------------- compare.v -----------------

module compare(equal,a,b);

output equal;

assign equal=(a==b)?1:0; //a等于b时,equal输出为1;a不等于b时,

//equal输出为0。

endmodule

测试模块源代码:

`timescale 1ns/1ns

`include "./compare.v"

module comparetest;

reg a,b;

wire equal;

initial

begin

a=0;

b=0;

#100 a=0; b=1;

#100 a=1; b=1;

#100 a=1; b=0;

#100 $stop;

end

compare compare1(.equal(equal),.a(a),.b(b));

endmodule

仿真波形如下:

五、实验步骤

1.建立工程文件,编写模块源码和测试模块,要求测试模块对源文件进行比较全面的

2.编译源码和测试模块,用测试模块对源文件进行测试,并进行仿真;

3.观察综合后生成的文件和源文件的不同点和相同点。

4. 综合时采用不同的FPGA器件,观察综合后的结果有什么不同。

六、实验报告要求

1.要求实验报告中有编写模块源码和测试模块;

2.要求实验报告中有综合后RTL图形;

3.要求实验报告中有仿真后的波形;

4. 对课后思考题进行分析说明。

七、实验注意事项

1.采用Altera公司的Cyclone II系列的EP2C35型FPGA作为建立工程、代码编译、综合库时使用的库器件;

2.综合使用synplify工具,布局布线使用quartus II自带工具;

3.仿真使用ModelSim工具。

八、思考题

1.课本练习一的测试方法二中,第二个initial块有什么用?它与第一个initial 块有什么关系?

2.如果在第二个initial块中,没有写出#10000或者$stop,仿真会如何进行?

3.比较两种测试方法,哪一种更全面?

实验二简单分频时序逻辑电路的设计

一、实验目的

1.掌握条件语句在简单时序模块设计中的使用;

2. 掌握verilog语句在简单时序模块设计中的使用;

3.学习在Verilog模块中应用计数器;

4.学习测试模块的编写、综合和不同层次的仿真。

二、实验内容

1.使用always块和@(posedge clk)或@(negedge clk)的结构来表述时序逻辑,设计1/2分频的可综合模型。得到如下波形图:

2.对模块进行RTL级仿真、综合后门级仿真,布局布线仿真;

三、实验仪器、设备

预装了开发工具synplify、ModelSimSE的PC机。

四、实验原理

1.使用always过程赋值语句对上升沿进行响应,当时钟信号上升沿到来时,系统判断清零信号是否为0,如果为0,则clk_out信号清零,否则clk_out信号翻转clk_out=~clk_out。

2.系统1/2分频原理:一个时钟周期的上升沿时刻,若清零信号reset不为0,输出信号跳变一个周期,输入时钟信号需经过两个周期的上升沿,输出周期为输入周期的两倍,输出频率为输入频率的1/2,这样就完成了1/2分频的效果。

下面是一个可综合的1/2分频器模型。

//half_clk.v---------------------------------------

module half_clk(reset,clk_in,clk_out);

input clk_in,reset;

output clk_out;

reg clk_out;

always @(posedge clk_in)

begin

if(!reset) clk_out=0;

else

clk_out=~clk_out;

end

endmodule

3.测试模块中,输入周期为200ns的时钟信号,初始化语句initial将时钟信号置0,清零信号先置1,经过10ns置0,开始清零,再经过110ns后置1,开始测试。设定测试循环时间为100ms,经过100ms以后测试停止。

测试模块的源代码:

//top.v--------------------------------------------

`timescale 1ns/100ps

`define clk_cycle 50

module top;

reg clk,reset;

wire clk_out;

always #`clk_cycle clk=~clk;

initial

begin

clk=0;

reset=1;

#10 reset=0;

#110 reset=1;

#100000 $stop;

end

half_clk m0(.reset(reset),.clk_in(clk),.clk_out(clk_out));

endmodule

五、实验步骤

1.建立工程文件,编写模块源码和测试模块,要求测试模块能对源文件进行比较全面的测试。

2.编译源码和测试模块,用测试模块对源文件进行测试,并综合仿真。得到波形图。

3.观察综合后生成的文件和源文件的不同点和相同点。

4.记录数据并完成实验报告。

六、实验报告要求

1.要求实验报告中有编写模块源码和测试模块。

2.要求实验报告中有仿真后的波形。

3.实验报告中对思考题进行分析说明。

七、实验注意事项

1.注意在always块中,被赋值的信号都必须定义为reg型,这是由时序逻辑电路的特点所决定的。

2.对与reg型数据,如果未对它进行赋值,仿真工具会默认它为不定态。

八、思考题

1.如果没有reset信号,能否控制2分频clk_out信号的相位?

2.只用clk时钟沿的触发(即不用2分频产生的时钟沿)如何直接产生4分频、8分频、或者16分频的时钟?

3.如何只用clk时钟沿的触发直接产生占空比不同的分频时钟?

实验三利用条件语句实现计数分频时序电路

一.实验目的:

1.掌握条件语句在简单时序模块设计中的使用;

2.掌握最基本时序电路的实现方法;

3.学习在Verilog模块中应用计数器;

4. 学习测试模块的编写、综合和不同层次的仿真。

二.实验内容:

1.复习课本,熟悉条件语句的使用方式;

2.建立工程并编写源代码;

3. 综合并布局布线仿真并分析always语句在时序逻辑中的作用;

4. 学习测试模块的编写、综合和仿真。

图3.1 技术分频器波形

三.实验设备:

预装了Active-HDL6.2及Synplify7.0的PC机。

四、实验原理

1.复位信号reset为低电平时,对电路中的寄存器进行复位,F输出低电平信号。

利用分频器的设计原理,在程序中设计一个计数器,在时钟的上升沿计数,当

计数值为19时(此时时钟已经产生了20个上升沿),输出信号F翻转为高电

平,高电平的持续时间为10us。之后,复位信号再次将F复位为低电平,低

电平的持续时间为10us。

2.条件语句示例:可综合风格的分频器。它的功能是将10M的时钟分频为500K

的时钟。基本原理与1/2分频器是一样的,但是需要定义一个计数器,以便准

确获得1/20分频。

模块源代码:

// --------------- fdivision.v -----------------------------

module fdivision(RESET,F10M,F500K);

input F10M,RESET;

output F500K;

reg F500K;

reg [7:0]j;

always @(posedge F10M)

if(!RESET) //低电平复位。

begin

F500K <= 0;

j <= 0;

end

else

begin

if(j==19) //对计数器进行判断,以确定F500K信号是否反转。

begin

j <= 0;

F500K <= ~F500K;

end

else

j <= j+1;

end

endmodule

测试模块源代码:

--------------fdivision_top.v---------------

`timescale 1ns/100ps

`define clk_cycle 50

module division_top;

reg F10M,RESET;

wire F500K_clk;

always #`clk_cycle F10M=~F10M;

initial

begin

RESET=1;

F10M=0;

#100 RESET=0;

#100 RESET=1;

#10000 $stop;

end

fdivision fdivision(.RESET(RESET),.F10M(F10M),.F500K(F500K_clk));

endmodule

五、实验步骤

1.建立工程文件,编写模块源码和测试模块,要求测试模块能对源文件进行比较全面的测试;

2.编译源码和测试模块,用测试模块对源文件进行测试,并综合仿真;

3.观察综合后生成的文件和源文件的不同点和相同点;

4. 综合时采用不同的FPGA器件,如Altera公司的Cyclone II系列和Stratix III

系列,观察综合后的结果有什么不同。

六、实验报告要求

1.要求实验报告中有编写模块源码和测试模块

2.要求实验报告中有综合后RTL图形;

3.要求实验报告中有仿真后的波形。

七、实验注意事项

1.综合使用synplify工具,布局布线使用quartus II自带工具;

2.仿真使用ModelSim工具。

八、思考题

1.考虑如何实现任意数值分频。

2. 如果综合时采用不同的FPGA器件,如Altera公司的Cyclone II系列和Stratix III

系列,想想综合后的结果有什么不同?

3. 课后自己试着利用10MB的时钟,设计一个单周期形状的周期波形。

实验四阻塞赋值与非阻塞赋值的区别

一.实验目的:

1.通过实验,掌握阻塞赋值与非阻塞赋值的概念与区别;

2.深入理解顺序执行和并发执行的概念。

3.了解非阻塞和阻塞赋值的不同使用场合;

4.学习测试模块的编写,综合和不同层次的仿真。

二.实验内容:

1.本次实验参照课本上的练习三,采用Verilog HDL语言描述两个模块,分别包含有阻塞和非阻塞赋值语句;

2.编写测试模块,在相同输入信号的条件下,比较阻塞与非阻塞语句的输出结果;

3.对模块进行RTL级仿真、综合后门级仿真,布局布线仿真;

4.分析阻塞赋值与非阻塞赋值的区别。

三、实验仪器、设备

预装了开发工具synplify、ModelSimSE的PC机。

四、实验原理

源文件中分别通过always过程赋值语句在clk时钟上升沿到来时,对b,c进行赋值,并加以显示,区别在于blocking模块采用阻塞赋值,non_blocking模块采用非阻塞赋值,通过仿真波形图和综合后的电路结构图进一步了解这两种赋值语句的不同点。

模块源代码:

// ------------- blocking.v ---------------

module blocking(clk,a,b,c);

output [3:0] b,c;

input [3:0] a;

input clk;

reg [3:0] b,c;

always @(posedge clk)

begin

b = a;

c = b;

$display("Blocking: a = %d, b = %d, c = %d.",a,b,c);

end

endmodule

//------------- non_blocking.v -------------------

module non_blocking(clk,a,b,c);

output [3:0] b,c;

input [3:0] a;

input clk;

reg [3:0] b,c;

always @(posedge clk)

begin

b <= a;

c <= b;

$display("Non_Blocking: a = %d, b = %d, c = %d.",a,b,c);

end

endmodule

测试模块源代码:

//------------------ compareTop.v-------------------//

`timescale 1ns/100ps

`include"./blocking.v"

`include"./non_blocking.v"

module compareTop;

wire [3:0] b1,c1,b2,c2;

reg [3:0] a;

reg clk;

initial

begin

clk=0;

forever #50 clk=~clk;

end

initial

begin

a=4'h3;

$display("____________");

# 100 a=4'h7;

$display("____________");

# 100 a=4'hf;

$display("____________");

# 100 a=4'ha;

$display("____________");

# 100 a=4'h2;

$display("____________");

# 100 $display("____________");

$stop;

end

non_blocking non_blocking(clk,a,b2,c2);

blocking blocking(clk,a,b1,c1);

endmodule

仿真结果:由图知阻塞赋值是马上执行的。非阻塞赋值不是马上执行的,块结束后才完成赋值操作。

仿真波形如下:

五、实验步骤

1.仔细阅读课本,建立工程文件,编写模块源码和测试模块,要求测试模块能对源文件进行比较全面的测试;

2.编译源码和测试模块,用测试模块对源文件进行测试,并综合仿真;

3.观察综合后生成的两个电路结构图并观察仿真波形图,分析阻塞与非阻塞赋值的异同

4. 综合时采用不同的FPGA器件,如Altera公司的Cyclone II系列和Stratix III

系列,观察综合后的结果有什么不同。

六、实验报告要求

1.要求实验报告中有测试模块空白处填写的编码指令,并予以合理说明;

2.要求实验报告中有综合后RTL图形,并说明两个图的异同点;

3.要求实验报告中有仿真后的波形,并分析差异产生的原因;

4.要求实验报告中有阻塞与非阻塞赋值模块仿真的波形图,并说明产生差异的原因;

5.要求实验报告中有对思考题进行的分析说明;

七、实验注意事项

1.阻塞赋值可以理解为赋值语句是顺序执行的,而非阻塞赋值语句可以理解为

2.在源文件模块中的$display显示指令是在上升沿执行的,而并非实时显示,因此,对于信号a的变化,需要单独显示

3.测试模块forever语句产生时钟,由于在begin顺序语句块中,不能继续进行后面的指令,因此,最好forever语句后不要放其他执行语句

八、思考题

1.解释说明测试模块中forever语句后若有其他语句,是否能够执行?为什么?

2. 在blocking模块中按如下两种方法,仿真与综合的结果会有什么样的变化?

作出仿真波形,分析综合结果。

(1)always@(posedge clk)

begin

c=b;

b=a;

end

(2)always@(posedge clk)b=a;

always@(posedge clk)c=b;

实验五用always块实现较复杂的组合逻辑电路

一.实验目的:

1.掌握用always实现较大组合逻辑电路的方法;

2.进一步了解assign与always两种组合电路实现方法的区别和注意点;

3.学习测试模块中随机数的产生和应用;

4.学习综合不同层次的仿真,并比较结果。

二.实验内容:

1.运用always语句块设计一个8位数据选择器。要求:每路输入数据与输出数据均为4位2进制数,当选择开关(至少3位)或输入数据发生变化时,输出

数据也相应地变化;

2.写出测试模块,对模块的功能进行测试;

3.对模块进行RTL级仿真、综合后门级仿真,布局布线仿真。

三、实验仪器、设备

预装了开发工具synplify、ModelSimSE的PC机。

四、实验原理

数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。实现数据选择功能的逻辑电路称为数据选择器,它的作用相当于多个输入的单刀多掷开关。

下面是一个简单的指令译码电路的设计示例。该电路通过对指令的判断,对输入数据执行相应的操作,包括加、减、与、或和求反,并且无论是指令作用的数据还是指令本身发生变化,结果都要作出及时的反应。

//--------------- alu.v --------------------------

`define plus 3'd0

`define minus 3'd1

`define band 3'd2

`define bor 3'd3

`define unegate 3'd4

module alu(out,opcode,a,b);

output[7:0] out;

reg[7:0] out;

input[2:0] opcode;

input[7:0] a,b; //操作数。

always@(opcode or a or b) //电平敏感的always块

begin

case(opcode)

`plus: out = a+b; //加操作

`minus: out = a-b; //减操作

`band: out = a&b; //求与

`bor: out = a|b; //求或

`unegate: out=~a; //求反

default: out=8'hx; //未收到指令时,输出任意态

endcase

end

endmodule

同一组合逻辑电路分别用always块和连续赋值语句assign描述时,代码的形式大相径庭,仅使用assign结构来实现组合逻辑电路,在设计中会发现很多地方会显得冗长且效率低下。而适当地采用always来设计组合逻辑,往往会更具实效。

在always中适当运用default(在case 结构中)和else(在if…else结构中),通常可以综合为纯组合逻辑,尽管被赋值的变量一定要定义为reg型。

指令译码器的测试模块源代码:

//------------- alu_Top.v -----------------

`timescale 1ns/1ns

`include "./alu.v"

module alutest;

wire[7:0] out;

reg[7:0] a,b;

reg[2:0] opcode;

parameter times=5;

initial

begin

a={$random}%256; //Give a radom number blongs to [0,255]

b={$random}%256; //Give a radom number blongs to [0,255]

opcode=3'h0;

repeat(times)

begin

#100 a={$random}%256; //Give a radom number.

b={$random}%256; //Give a radom number.

opcode=opcode+1;

end

#100 $stop;

end

alu alu1(out,opcode,a,b);

endmodule

仿真波形如下:

五、实验步骤

1.仔细阅读课本,建立工程文件,编写模块源码和测试模块,要求测试模块能对源文件进行比较全面的测试;

2.编译源码和测试模块,用测试模块对源文件进行测试,并综合仿真;

3.观察综合后生成的两个电路结构图并观察仿真波形图,分析assign与always 两种组合电路实现方法的区别和注意点;

4. 综合时采用不同的FPGA器件,如Altera公司的Cyclone II系列和Stratix III

系列,观察综合后的结果有什么不同。

六、实验报告要求

1.要求实验报告中有源代码及测试程序;

2.要求实验报告中有仿真后的波形,并分析差异产生的原因。

七、实验注意事项

1.使用条件语句时,如果不使用default(在case 结构中)或 else(在if…else 结构中)对缺省项进行说明,否则易生成意想不到的锁存器。

2.用always块写组合逻辑时,应采用阻塞赋值;

3.不要在多个always块中为同一变量赋值;

4.用$strobe系统任务来显示非阻塞赋值的变量值。

八、思考题

1.分析用assign语句和always语句进行组合逻辑设计时有什么异同点?

2. 使用always块设计一个八功能的算术运算单元,其输入信号a和b均为4位,还

有功能选择select为3位,输出信号为out(5位)。算术运算单元所执行的操作

与select信号有关,具体关系如下表所列(忽略输出结果中的上溢和下溢位):

Select信号函数的输出

3’b000 a

3’b001 a+b

3’b010 a-b

3’b011 a/b

3’b100 a%b(余数)

3’b101 a<<1

3’b110 a>>1

3’b111 (a>b)(大小副值比较)

实验六在Verilog中使用函数

一.实验目的:

1.了解函数的定义和在模块设计中的使用;

2.了解函数的可综合性问题;

3. 了解许多综合器不能综合复杂的算术运算;

二.实验内容:

1.本次实验是Verilog HDL语言函数调用的一个简单示范;

2.本实验采用同步时钟触发运算的执行,每个clk时钟周期都会执行一次运算;

3.在测试模块中,通过调用系统任务$display及在时钟的下降沿显示计算的结果。

三、实验仪器、设备

预装了开发工具synplify、ModelSimSE的PC机。

四、实验原理

与一般的程序设计语言一样,Veirlog HDL也可使用函数以适应对不同变量采取同一运算的操作。Veirlog HDL函数在综合时被理解成具有独立运算功能的电路,每调用一次函数相当于改变这部分电路的输入以得到相应的计算结果。

下例是函数调用的一个简单示范,采用同步时钟触发运算的执行,每个clk时钟周期都会执行一次运算。并且在测试模块中,通过调用系统任务$display在时钟的下降沿显示每次计算的结果。

模块源代码:

module tryfunct(clk,result,reset);

output[31:0] result;

input reset,clk;

reg[31:0] result;

always @(posedge clk) //clk的上沿触发同步运算。

begin

if(!reset) //reset为低时复位。

result<=0;

else

流体传动与控制2012实验指导书

《液压传动》实验指导书刘玲腾刘继忠编 南昌大学机电工程学院

实验注意事项 一、液压实验是学习液压传动课程的一个重要组成环节,它可以帮助学生加深理解液压传动中的基本概念,巩固加深课堂教学内容;掌握一般液压元件和回路的实验方法及操作技能;增强实际动手能力,培养学生分析问题和解决问题的能力。因此学生对每次实验必须认真对待。 二、在每次实验前,要认真复习课程有关的内容并预习实验指导书。 三、实验前,应在实验台旁熟悉实验设备和仪器、操纵、测量等方法。在教师指导下,按实验指导书中的内容、步骤进行。 四、在实验室内必须遵守实验室有关规章制度。 五、实验完毕,应整理好场地和仪器、工具,切断电源,认真填写实验报告,按期交指导教师批阅。 六、实验成绩作为本课考核成绩的一部份。

目录 一、液压泵拆装 (1) 二、液压阀拆装 (7) 三、节流调速回路性能实验 (10) 四、液压传动系统回路组装实验 (13)

实验一液压泵拆装 一、实验目的 液压元件是液压系统的重要组成部分,通过对液压泵的拆装可加深对泵结构及工作原理的了解。并能对液压泵的加工及装配工艺有一个初步的认识。 二、实验用工具及材料 内六角扳手、固定扳手、螺丝刀、各类液压泵、液压阀及其它液压元件 三、实验内容及步骤 拆解各类液压元件,观察及了解各零件在液压泵中的作用,了解各种液压泵的工作原理,按一定的步骤装配各类液压泵。 1.轴向柱塞泵 型号:cy14—1型轴向柱塞泵(手动变量) 结构见图1—1 图1-1 (1)实验原理 当油泵的输入轴9通过电机带动旋转时,缸体5随之旋转,由于装在缸体中的柱塞10

有机化学实验

有机化学实验预习指导 实验二熔点的测定 1.测熔点时,若有下列情况将产生什么结果? 答: (1)熔点管壁太厚,则热传导时间加长,会产生熔点偏高。 (2)熔点管底部未完全封闭,尚有一针孔,则不易传热,造成熔程变大。 (3)熔点管不洁净,会使熔点偏低,熔程变大。 (4)样品未完全干燥或含有杂质,会使熔点偏低,熔程变大。 (5)样品研得不细或装得不紧密,则不易传热,造成熔程变大。 (6)加热太快,则会使熔点偏高。 2.可否用第一次测熔点后已经冷却重新结晶的试样,再做第二次测定?为什么? 答:不可以。因为在测熔点加热时,有时某些化合物部分分解,有些经加热会转变为具有不同熔点的其他结晶形式。这使得样品不纯,所测得的熔点不准确。 3.如何观察试样已经开始熔化和全部熔化? 答:试样塌陷并在边缘部分开始透明时就说明开始熔化;全部透明时说明全部熔化。 4.测定熔点对确定化合物的纯度和鉴定有机物有何意义? 答:当化合物含有杂质时,其熔点下降,熔距变宽,因此,通过测定熔点不仅可以鉴别不同的有机化合物,而且还可以判断有机化合物的纯度,同时还能鉴定熔点相同的两种化合物是否为同一化合物。 5. 沾有浓硫酸的温度计能否直接用水冲洗?为什么? 答:不能。因为浓硫酸溶于水会放出大量的热,这样容易使温度计破裂。应使温度计上的浓硫酸充分冷却后用布擦去,再用水小心地冲冼。 实验三蒸馏及沸点的测定 1、什么叫沸点?液体的沸点和大气压有什么关系? 答:当液体的蒸气压等于外界对液面的总压力(通常是大气压力)时,就有大量气泡从液体内部逸出,即液体沸腾。这时的温度称为液体的沸点。 2、用蒸馏法测定沸点时,加热过猛,蒸馏速度太快,所测数据会发生什么误差? 答:加热过猛,蒸馏速度太快,使蒸气成为过热蒸气,造成温度计所显示的沸点偏高。 3、蒸馏时加入沸石的作用是什么?如果蒸馏前忘记加沸石,能否立即将沸石加至将近沸腾的液体中?当重新蒸馏时,用过的沸石能否继续使用? 答:加入沸石的作用是为了形成许多气化中心,防止爆沸。若在加热后才发现忘了加沸石,则应停止加热,待烧瓶内液体温度降到低于沸点几十度后再补加。用过的沸石已经失效,不能再用。

VHDL实验报告

《创新实验》实验报告 —基于VHDL的编程和硬件实现

一、实验目的 1.熟悉和掌握硬件描述语言VHDL的基本语法及编写; 2.掌握软件Xilinx ISE 10.1的使用; 3.熟悉SDZ-6电子技术实验箱的使用; 4.了解节拍脉冲发生器等基本电路的实现; 5.了解八位二进制计数器的功能与设计; 6.学习键盘和七段数码管显示的控制和设计。 二、实验内容 1.Xilinx ISE 10.1软件的使用; 2.节拍脉冲发生器等基本电路的实现; 3.八位二进制计数器的实现 4.键盘扫描及显示的实现 三、实验器材 1、PC机 2、SDZ-6电子技术实验箱 3、正负5V电源 4、I/O接口线 四、软件的使用 在安装Xilinx10.1软件时,需要一个ID号,其实这个ID号是可以重复使用的,几个同学在官网注册后就可以共享ID号了。 安装完成之后就可以使用这个软件编写相应的VHDL的程序。 1.新建工程 File—>New Project 弹出下面的对话框 输入工程名后单击Next。然后根据本实验的实验箱进行以下设置。

以后的步骤一般都是单击Next(有些资料上会介绍有些这些步骤的具体功能,但对于本实验不必用到),最后单击Finish,完成新建一个工程。在窗口的左边会出现刚刚新建的工程,如下: 2.新建一个VHDL的源文件。 在上图中,右击工程选择New Source ,弹出如下对话框。

在对画框的左边选择VHDL Module,输入文件的名字(改名字最好是你定义的实体的名字)。单击Next。出现下面的对话框。 该对话框主要是对外部端口的编辑。可以直接跳过,即单击Next,在源文件上编辑端口。然后在接下来的对话框中单击Finish。完成建立一个源文件。窗口右边就会出现刚才编辑的源文件。 3.编写和编译代码 将事先编好的代码复制到源文件里,然后保存文件。 选中左边的文件名,在窗体的左边出现如下编辑文档内容。

可编程逻辑器件与硬件描述语言

组合逻辑电路实验(一)实验报告 一.实验名称:3-8译码器设计 二.实验目的 1.掌握ISE 开发工具的使用,掌握FPGA 开发的基本步骤; 2.掌握组合逻辑电路设计的一般方法; 3.掌握程序下载方法,了解UCF 文件的格式; 4.初步了解开发板资源,掌握开发板使用方法。重点了解滑动开关和LED 显示灯的使用方法。 三.实验内容 1.用VHDL 实现3-8译码器模块 译码器电路如图2-1所示。其功能如表2-1所示。试用VHDL 实现该译码器,并在开发板上进行检验。 表2-1 译码器功能表 EN A B C Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0 1 X X X 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 1 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 1 1 1 3-8 译码器 A B C EN Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 图2-1 3-8译码器

0 1 1 0 0 1 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 2.将程序下载到FPGA并进行检验 (1)资源使用要求:用滑动开关SW3~SW1作为输入A,B,C;滑动开关SW0控制EN;8个LED灯表示8个输出。 (2)检验方法:当SW0处于ON(EN=1)位置时,所有LED灯灭;当SW0处于OFF(EN=0),反映当前输入的译码输出在LED灯上显示,即当输入为000(滑动开关SW3-SW1处于OFF状态),LED0亮,其它灯灭,等等。 四.实验步骤 1.启动ISE,新建工程文件,编写3-8译码器的VHDL模块; 2.新建UCF文件,输入位置约束; 3.完成综合、实现,生成下载文件; 4.连接开发板USB下载线,开启开发板电源; 5.下载到FPGA; 6.拨动开关,验证结果是否正确。 五.主要vhdl代码 architecture Behavioral of coder_38 is --3-8译码器行为级描述signal x:STD_LOGIC_VECTOR (2 downto 0); begin x <= A&B&C;

工程热力学实验 二氧化碳PVT实验指导书(2012.06.07)

二氧化碳临界状态观测及p-v-T关系的测定 一、实验目的 1. 观察二氧化碳气体液化过程的状态变化和临界状态时气液突变现象,增加对临界状态概念的感性认识。 2. 加深对课堂所讲的工质的热力状态、凝结、汽化、饱和状态等基本概念的理解。 3. 掌握二氧化碳的p-v-T关系的测定方法,学会用实验测定实际气体状态变化规律的方法和技巧。 4. 学会活塞式压力计、恒温器等部分热工仪器的正确使用方法。 二、实验原理 当简单可压缩系统处于平衡状态时,状态参数压力、温度和比容之间有确切的关系,可表示为: (,,)=0 (7-1-1) F p v T 或 =(,) (7-1-2) v f p T 在维持恒温条件下、压缩恒定质量气体的条件下,测量气体的压力与体积是实验测定气体p-v-T关系的基本方法之一。1863年,安德鲁通过实验观察二氧化碳的等温压缩过程,阐明了气体液化的基本现象。 当维持温度不变时,测定气体的比容与压力的对应数值,就可以得到等温线的数据。 在低于临界温度时,实际气体的等温线有气、液相变的直线段,而理想气体的等温线是正双曲线,任何时候也不会出现直线段。只有在临界温度以上,实际气体的等温线才逐渐接近于理想气体的等温线。所以,理想气体的理论不能说明实际气体的气、液两相转变现象和临界状态。 二氧化碳的临界压力为73.87bar(7.387MPa),临界温度为31.1℃,低于临界温度时的等温线出现气、液相变的直线段,如图1所示。30.9℃

是恰好能压缩得到液体二氧化碳的最高温度。在临界温度以上的等温线具有斜率转折点,直到48.1℃才成为均匀的曲线(图中未标出)。图右上角为空气按理想气体计算的等温线,供比较。 1873年范德瓦尔首先对理想气体状态方程式提出修正。他考虑了气体分子体积和分子之间的相互作用力的影响,提出如下修正方程: ()()p a v v b RT + -=2 (7-1-3) 或写成 pv bp RT v av ab 320-++-=() (7-1-4) 范德瓦尔方程式虽然还不够完善,但是它反映了物质气液两相的性质和两相转变的连续性。 式(7-1-4)表示等温线是一个v 的三次方程,已知压力时方程有三个根。在温度较低时有三个不等的实根;在温度较高时有一个实根和两个虚根。得到三个相等实根的等温线上的点为临界点。于是,临界温度的等温线在临界点有转折点,满足如下条件: ( )??p v T =0 (7-1-5)

大学化学大学有机化学实验书

《有机化学实验》指导书一、实验项目: 二、各实验的主要内容及要求 实验一 实验项目名称:常用仪器介绍、熔点测定 实验项目性质:基本操作 所属课程名称:有机化学实验 实验计划学时:3学时 一、实验目的: 1.了解熔点测定的意义;

2.掌握毛细管测定熔点的操作方法。 二、实验原理 熔点是固体有机化合物固液两态在大气压力下达成平衡的温度,纯净的固体有机化合物一般都有固定的熔点,固液两态之间的变化是非常敏锐的,自初熔至全熔(称为熔程)温度不超过0.5-1℃。 加热纯有机化合物,当温度接近其熔点范围时,升温速度随时间变化约为恒定值,此时用加热时间对温度作图(如图1)。 图1 相随时间和温度的变化图2 物质蒸气压随温度变化曲线化合物温度不到熔点时以固相存在,加热使温度上升,达到熔点.开始有少量液体出现,而后固液相平衡.继续加热,温度不再变化,此时加热所提供的热量使固相不断转变为液相,两相间仍为平衡,最后的固体熔化后,继续加热则温度线性上升。因此在接近熔点时,加热速度一定要慢,每分钟温度升高不能超过2℃,只有这样,才能使整个熔化过程尽可能接近于两相平衡条件,测得的熔点也越精确。 当含杂质时(假定两者不形成固溶体),根据拉乌耳定律可知,在一定的压力和温度条件下,在溶剂中增加溶质,导致溶剂蒸气分压降低(图2中M′L′),

固液两相交点M′即代表含有杂质化合物达到熔点时的固液相平衡共存 点,T M′为含杂质时的熔点,显然,此时的熔点较纯粹者低。 三、实验内容和要求 a)测定二苯胺的熔点,测二次; b)测定乙酰苯胺的熔点,测二次; c)测定未知样品的熔点,测二次。 四、实验主要仪器设备和材料 毛细管、提勒管、温度计、酒精灯;待测样品、液体石蜡等。 五、实验方法、步骤及结果测试 1.毛细管的封口:将毛细管用酒精灯的外火焰加热,一段封好。 2.装样品:将少许样品放于干净表面皿上,用玻璃棒将其研细并集成一堆。把毛细管开口一端垂直插人堆集的样品中,使一些样品进入管内,然后,把 该毛细管垂宜桌面轻轻上下振动,使样品进人管底,再用力在桌面上下振动,尽量使样品装得紧密。或将装有样品、管口向上的毛细管,放入长约50一60CM垂直桌面的玻璃管中,管下可垫一表面皿,使之从高处落于表面皿上,如此反复几次后,可把样品装实,样品高度2—3 mm。熔点管外的样品粉末要擦干净以免污染热浴液体。装入的样品一定要研细、夯实。否则影响测定结果。 3.装置的安装: 按图搭好装置,放入热浴所用的导热液。用温度计水银球蘸取少量导热液,小心地将熔点管粘附于水银球壁上,或剪取一小段橡皮圈套在温度计和熔点管的上部(如下图)使装试料的部分正靠在温度计水银球的中部。温度

硬件描述语言及器件实验指导书

硬件描述语言及器件实验指导书 电子科学与技术专业组

第1章实验一用硬件描述语言的方法设计一个三输入与门电 路 一、实验目的 1、掌握MAX+plusII的使用方法。 2、掌握VHDL语言程序的基本结构。 2、掌握使用硬件描述语言设计数字电路的方法和步骤。 3、掌握用硬件描述语言设计三输入与门电路的方法。 二、实验器材 1.台式计算机1台。 2.可编程逻辑逻辑器件实验软件1套。 3.下载电缆一套。 4.示波器一台。 三、实验说明 1.台式计算机用于向可编程逻辑逻辑器件实验软件提供编程、仿真、下载的平台,供用户使用。 2.可编程逻辑逻辑器件实验软件向原理图的设计提供平台,并将调试好的原理图下载到可编程逻辑逻辑器件中。 3.下载电缆是可编程逻辑器件软件和可编程逻辑逻辑器件之间的接口电缆,为了便于区别,用不同颜色导线区分下载电缆的电源、地和信号,一般用红色导线接电源,用黑色导线接地。 4.示波器用于观察可编程逻辑器件执行程序时输出信号的变化。 四、实验内容与要求 用硬件描述语言的方法设计一个三输入与门电路,要求: (1)根据三输入与门的逻辑表达式,在构造体中直接使用并行赋值语句实现,并进行仿真;

(2)根据三输入与门的真值表,在构造体中使用进程语句实现,并进行仿真; 五、实验步骤(下面七个的实验的软件的使用具体步骤跟本次试验一样不再详述) 1.打开新建菜单选择“textedtiorfile”,这个文件是写程序编程用的。 2.程序写完后点“保存”,注意(1)保存的格式是.vhd,(2)保存的地址不能是桌面和硬盘的根目录,否则在后面的编程将出现错误。

3.在file→projectset→projecttocurrentfile,这步是将文件设置在工程里,能够正常编译和下载。

201209级《发动机原理》实验指导书.

《发动机原理》课程实验指导书彭辅明袁守利编 汽车工程学院 2012年4月

前言 1.实验总体目标、任务与要求 1、巩固所学的理论知识、加深对内燃机性能实验的认识和了解。 2、掌物内燃机性能试验和某些专项试验的试验方法。 3、了解内燃机试验台架的基本组成和常用测试仪表的结构及其工作原理,并掌物其使用方法。 4、掌物对实验数据进行处理以及对实验结果进行分析的基本方法。 2.适用专业 热能与动力工程、车辆工程、汽车服务工程 3.先修课程 《发动机构造》、《热能与动力机械测试技术》。 4.实验项目与学时分配(见表一) 5. 实验改革与特色 通过学生在实验过程中的实际操作,培养学生的实验技能和实际动手的能力,进一步加深对理论知识的掌物和理解。

实验一发动机速度特性 1、掌物发动机速度特性的试验方法。 2、学会对实验数据进行处理,对实验结果进行分析;并绘制发动机速度特性曲线图。 二、实验条件 1、东南4A91电控汽油发动机机(Pemax=77Kw/6000r/min)一台 2、CW150型电涡流测功机一台 3、FST2S发动机数控试验台一台 3、FCM-D转速油耗测量仪一台 4、温度计一只 5、大气压力计一只 6、93#车汽油 20升 三、实验原理 发动机速度特性:在发动机油门开度一定(部分开度或全开)的情况下,研究其功率Pe、扭矩Ttq、耗油量B及燃油消耗率be与转速n之间的关系。 四、实验内容和要求 1、调整测功机负荷及指挥全组协调动作,一人;测功机负荷的调整应均匀、准确,尽量避免大幅度增加或减小测功机负荷,造成发动机的转速剧烈波动。 2、调节、监视发动机油门,一人;当发动机出现异常情况时应立即减小或关闭发动机油门。 3、测量发动机转速和油耗,一人;测量转速时,应注意转速的上下波动情况,当转速的波动值超过±20r/min,该组实验数据应视为无效并重做。 4、调节,监视发动机冷却水出水温度,一人;保持发动机冷却水出水温度稳定在80±5℃范围内,出现气阻现象(无冷却水排除或冷却水出水温度超过100℃),应立即报告,以便及时停机。 5、监视发动机机油压力、温度,一人;出现异常情况应及时报告。 6、记录发动机扭矩(测功机读数)Ttq、发动机转速n、耗油质量△m和耗油时间△t, 一人;实验数据记录应准确无误。 7、绘制实验监督曲线,一人;当发现实验过程中因某些特殊原因而引起误差过大的点,应及时指出,以便补测校正。 五、实验方法与步骤 1、按照附录一《发动机台架试验安全操作规范》,作好试验前的准备工作。确认发

课程名称FPGA与硬件描述语言

课程名称:FPGA与硬件描述语言 课程编码:7002301 课程学分:2学分 课程学时:32学时 适应专业:电子信息工程、电子信息工程(理工科实验班) 《FPGA与硬件描述语言》 FPGA and Hardware Describing Language 教学大纲 一、课程性质与任务 性质:本课程的授课对象为电子信息工程专业二年级本科生,课程属性为专业基础必修课,该课程讲授FPGA基本原理及结构,先进的硬件描述语言(VHDL语言),FPGA设计与应用等知识。 任务:通过对(VHDL)硬件描述语言,FPGA设计等知识的学习,掌握硬件描述语言,FPGA设计的基本知识。培养学生动手能力以及解决实际问题的能力。理解VHDL语言,学会FPGA设计方法等。 二、课程教学基本内容及要求 第一章绪论VHDL的数据和表达式 (一)教学基本要求: 掌握:VHDL程序的特点,VHDL的数据,VHDL的表达式。 了解:FPGA基本原理及结构。 (二)教学基本内容: 绪论:FPGA基本原理及结构 第一章VHDL的数据和表达式 1.1 VHDL程序的特点 1.2 VHDL程序的基本结构 1.3 VHDL的数据 1.4 VHDL的表达式 第二章VHDL的顺序描述语句 (一)教学基本要求: 掌握:信号赋值语句和变量赋值语句,if语句,case语句,null语句。 理解:loop语句。 (二)教学基本内容:

第二章VHDL的顺序描述语句 2.1信号赋值语句和变量赋值语句 2.2 if语句 2.3 case语句 2.4 loop语句 2.5 null语句 第三章VHDL的并行描述语句 (一)教学基本要求: 掌握:进程语句,并发信号赋值语句,元件例化语句。 理解:条件信号赋值语句,选择信号赋值语句。 了解:生成语句。 (二)教学基本内容: 第三章VHDL的并行描述语句 3.1进程语句 3.2并发信号赋值语句 3.3条件信号赋值语句 3.4选择信号赋值语句 3.5元件例化语句 3.6生成语句 第四章VHDL的时钟信号描述方法 (一)教学基本要求: 掌握:时钟的VHDL描述方法,时序电路中复位信号的VHDL描述方法。(二)教学基本内容: 第四章VHDL的时钟信号描述方法 4.1时钟信号的VHDL描述方法 4.2时序电路中复位信号的VHDL描述方法 第五章VHDL的有限状态机的设计 (一)教学基本要求: 掌握:有限状态机的基本概念及应用。 理解:一个Moore型有限状态机的设计实例 (二)教学基本内容: 第五章VHDL的有限状态机的设计 5.1 有限状态机的基本概念 5.2一个Moore型有限状态机的设计实例

电子_基础实验指导书 2012

电子科技专业基础实验 电子科学与技术学院编 2012.1

电子科技专业基础实验 1 微波基本测量 (1) 2 二维电场的模拟实验 (7) 3 电磁波的布拉格衍射实验 (12) 4 射频图像传输 (16) 5 偏振光实验 (23) 6 光源光谱特性的测量 (29) 7 光磁共振实验 (32) 8 半导体光电导实验 (41) 9 光栅实验 (47) 10 单色仪的标定实验 (51) 11 迈克尔逊干涉仪 (54) 12 半导体光伏效应实验 (60) 13 半导体霍尔效应实验 (66) 14 PN结正向压降温度特性实验 (72) 15 半导体少数载流子寿命测量 (77) 16 四探针测电阻率实验 (80)

实验1 微波基本测量技术 一.实验目的 1. 学习微波的基本知识; 2. 了解波导测量系统,熟悉基本微波元件的作用; 3.了解微波在波导中传播的特点,掌握微波基本测量技术; 4.掌握大、中、小电压驻波系数的测量原理和方法; 5.学习用驻波测量线校准晶体检波器特性的方法。 二.实验原理 (一)微波基本知识 在微波波段,随着工作频率的升高,导线的趋肤效应和辐射效应增大,使得普通的双导线不能完全传输微波能量,而必须改用微波传输线。常用的微波传输线有平行双线、同轴线、带状线、微带线、金属波导管及介质波导等多种形式的传输线,本实验用的是矩形波导管,波导是指能够引导电磁波沿一定方向传输能量的传输线。 传输线的特性参量与工作状态在波导中常用相移常数。波导波长,驻波系数等特性参量来描述波导中的传输特征,对于一个横截面为b a ×的矩形波导中的TE 10波: 自由空间波长 /c f λ=, 截止(临界)波长 2c a λ=, 波导波长 /g λλ= (1) 相移常量 2/g βπλ=,, 反射系数 Γ=E 反/E 入 驻波比 max min /E E ρ=, 由此可见,微波在波导中传输时,存在着一个截止波长c λ,波导中只能 传输λ<c λ的电磁波。波导波长g λ>自由空间波长λ。 在实际应用中,传输线并非是无限长,此时传输线中的电磁波由人射波 和反射波迭加而成,传输线中的工作状态主要决定于负载的情况。 (1)波导终端接匹配负载时,微波功率全部被负载吸收,无反射波, 波导中呈行驻波状态.此时|Γ|=0,ρ=l 。

有机化学实验(1)-同济大学化学化工实验教学示范中心

《有机化学实验(1)》教学大纲 课程编号:123280 学分:1.5 学时:51 大纲执笔人:于辉大纲审核人:蒋忠良 一、课程性质与目的 课程性质:专业基础课 有机化学实验是有机化学教学的重要环节,是培养学生掌握实验基本技能和技术、提高动手能力的必修课。本课程的目的是通过实验使学生加深理解有机化学基本理论和基本知识,掌握有机化学研究的基本方法和基本实验操作技能。掌握有机化学前沿学科的实验方法。培养学生具有分析问题和解决问题的能力,培养学生具有实事求是的科学作风和严谨踏实的科学态度,为在后期专业课程学习、专业实践以及未来工作中奠定基础。 二、课程面向专业 应用化学、化学工程与工艺。 三、实验基本要求 掌握常用仪器、设备的使用,掌握有机化合物的物理性质及结构鉴定方法,有机化合物的分离提纯方法,各类有机化合物的制备与反应。 四、实验教学基本内容 简单玻璃工操作,熔点和沸点的测定及温度计的校正,液体化合物折光率的测定,旋光度的测定,蒸馏,水蒸气蒸馏,减压蒸馏,重结晶及过滤,分馏,升华,干燥和干燥剂的使用,萃取,色谱分离,各类简单有机化合物的制备及反应。 五、实验内容和主要仪器设备与器材配置

* 六、能力培养与人格养成教育 将专业标准中能力标准和人格养成贯穿到实验教学当中。 七、实验预习和实验报告的要求、考核方式 1. 实验预习:将本实验的目的、要求、反应式(正反应,主要副反应)、主要反应物、试剂和产物的物理常数、用量和规格摘录于预习报告中;写出实验简单步骤;列出粗产物纯化过程及原理。 2. 实验报告:要求写出下列内容 实验目的和要求;反应式;主要原料及产物的物理常数;主要物料用量及规格;实验步骤及现象记录;产率计算,结果讨论。 3.考核:根据实验操作、实验报告、实验结果、实验考试情况综合评分。由三部分构成:第一部分,根据实验预习的充分性、操作的规范性、测定结果的准确性及实验报告的完成情况,综合给出平时成绩(占60%);第二部分,期末或期中组织一次实验理论、基本常识等书面测验,考核成绩也作为评分依据(占20%);第三部分,期末或期中单独组织一次实验操作基本技能的考核(占20%)。以上三部分累加,得学期总分。 八、学时分配

数字电子技术实验报告_基于Quartus II的硬件描述语言电路设计

数字电子技术基础 实验报告 题目:实验四基于Quartus II的硬件描述语言电路 设计 小组成员: 小组成员:

一、实验四基于Quartus II的硬件描述语言电路设 计 一、实验目的 1)学习并掌握硬件描述语言VHDL;熟悉门电路的逻辑功能,并用硬件描述语言 实现门电路的设计。 2)熟悉中规模器件译码器的逻辑功能,用硬件描述语言实现其设计。 3)熟悉时序电路计数器的逻辑功能,用硬件描述语言实现其设计。 4)熟悉分频电路的逻辑功能,并用硬件描述语言实现其设计。 二、实验要求 要求1:参考“参考内容1”中给出的与门源程序,编写一个异或门逻辑电路。1)用QuartusII波形仿真验证;2)下载到DE0开发板验证。 要求2:参考“参考内容2”中给出的将8421BCD码转换成0-9的七段码译码器源程序,编写一个将二进制码转换成0-E的七段码译码器。1)用QuartusII波形仿真验证;2)下载到DE0开发板,利用开发板上的数码管验证。 要求3:参考“参考内容3”中给出的四位二进制计数器的源程序,编写一个计数器实现0-E计数。用QuartusII波形仿真验证; 要求4:参考“参考内容4”中给出的50M分频器的源程序,编写一个能实现占空比50%的5M和50M分频器即两个输出,输出信号频率分别为10Hz和1Hz。下载到DE0开发板验证。(提示:利用DE0板上已有的50M晶振作为输入信号,通过开发板上两个的LED灯观察输出信号)。电路框图如下:

要求5:利用已经实现的VHDL模块文件,顶层文件采用原理图设计方法,实现0-E计数自动循环显示,频率1Hz和10Hz可以切换。(提示:如何将VHDL模块文件在顶层原理图文件中引用,参考参考内容5) 三、实验设备 (1)电脑一台; (2)数字电路实验箱; (3)数据线一根。 四、实验原理 1.VHDL具有功能强大的语言结构,可以用简洁明确的源代码来描述复杂的逻辑控制。它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。VHDL支持同步电路、异步电路和随机电路的设计,这是其他硬件描述语言所不能比拟的。VHDL还支持各种设计方法,既支持自底向上的设计,又支持自顶向下的设计;既支持模块化设计,又支持层次化设计。 2.VHDL具有多层次的设计描述功能,既可以描述系统级电路,又可以描述门级电路。而描述既可以采用行为描述、寄存器传输描述或结构描述,也可以采用三者混合的混合级描述。另外,VHDL支持惯性延迟和传输延迟,还可以准确地建立硬件电路模型。VHDL支持预定义的和自定义的数据类型,给硬件描述带来较大的自由度,使设计人员能够方便地创建高层次的系统模型。 3.VHDL是一种标准化的硬件描述语言,同一个设计描述可以被不同的工具所支持,使得设计描述的移植成为可能。 4.VHDL采用基于库(Library)的设计方法,可以建立各种可再次利用的模块。这些模块可以预先设计或使用以前设计中的存档模块,将这些模块存放到库中,就可以在以后的设计中进行复用,可以使设计成果在设计人员之间进行交流和共享,减少硬件电路设计。

硬件描述语言与FPGA技术实验指导书(2012版)

《硬件描述语言与FPGA技术》 实验指导书 西北工业大学 2012/10/10

目录 实验一简单的组合逻辑设计 (3) 实验二简单分频时序逻辑电路的设计 (6) 实验三利用条件语句实现计数分频时序电路 (9) 实验四阻塞赋值与非阻塞赋值的区别 (12) 实验五用always块实现较复杂的组合逻辑电路 (16) 实验六在Verilog中使用函数 (20) 实验七在Verilog HDL中使用任务(task) (23) 实验八利用有限状态机进行时序逻辑的设计 (27) 实验九利用状态机实现比较复杂的接口设计 (32) 练习十利用SRAM设计一个FIFO (39)

实验一简单的组合逻辑设计 一、实验目的 1. 学习Quartus和ModSim两种EDA工具的使用方法; 2.掌握基本组合逻辑电路的实现方法; 3.初步了解两种基本组合逻辑电路的生成方法; 4.学习测试模块的编写; 5.通过综合和布局布线了解不同层次仿真的物理意义。 二、实验内容 本次实验采用Verilog HDL语言设计一个可综合的数据比较器,其功能是比较数据a与数据b的结果,如果两个数据相同,则输出结果1,否则给出结果0;并写出测试模型,使其进行比较全面的测试。 三、实验仪器、设备 预装了开发工具ModelSimSE、synplify的PC机。 四、实验原理 1.组合逻辑电路是指在任何时刻,输出状态只决定于同一时刻各输入状态的组合而与 电路以前状态无关,而与其他时间的状态无关。其逻辑函数如下: Li=f(A1,A2,A3……An) (i=1,2,3…m) 其中,A1~An为输入变量,Li为输出变量。 2.组合逻辑电路的特点归纳如下: ① 输入、输出之间没有返馈延迟通道; ② 电路中无记忆单元。 3.组合逻辑设计示例:可综合的数据比较器。它的功能是比较数据a与数据b,如果 两个数据相同,则给出结果1,否则给出结果0。描述组合逻辑时常使用assign 结构。注意equal=(a==b)?1:0,这是一种在组合逻辑实现分支判断时常使用的格 式。 模块源代码: //--------------- compare.v ----------------- module compare(equal,a,b);

单片机原理实验指导书(2012.10)

《单片机原理》实验指导书 计算机科学与技术系2012年8月

目录 第一部分单片机仿真实验 (1) 实验一:流水灯实验 (1) 实验二:中断实验 (4) 实验三:定时器中断实验 (6) 实验四:串行口实验 (9) 实验五:矩阵式键盘输入识别 (13) 实验六:LCD循环显示设计 (19) 第二部分单片机硬件实验............................错误!未定义书签。第一章试验箱系统概述 ...................................错误!未定义书签。 一、系统地址分配........................................... 错误!未定义书签。 二、系统接口定义........................................... 错误!未定义书签。 三、通用电路简介........................................... 错误!未定义书签。第二章实验指导...............................................错误!未定义书签。实验七P1口亮灯和P1口加法器实验........... 错误!未定义书签。实验八简单I/O口扩展(选作).................. 错误!未定义书签。实验九8255控制交通灯................................ 错误!未定义书签。实验十128*64LCD液晶显示 .......................... 错误!未定义书签。

第一部分单片机仿真实验 实验一:流水灯实验 一、实验目的: 通过对P3口地址的操作控制8位LED流水点亮,从而认识单片机的存储器。 二、实验原理图 实验参考电路图如下: 三、参考实验程序 //流水灯实验 #include //包含单片机寄存器的头文件 sfr x=0xb0; //P3口在存储器中的地址是b0H,通过sfr可定义8051内核单片机 //的所有内部8位特殊功能寄存器,对地址x的操作也就是对P1口的

基础化有机学实验讲义

生物与化学工程系 基础有机化学实验 指导书 2011级各专业适用

有机化学实验须知 1、学生要提前5分钟进入实验室,进入实验室必须穿实验服。 2、实验前必须认真做实验预习,并写好预习报告,预习报告写在专门的实验预习笔记本上,每个实验的预习报告须在实验前交实验指导老师审查,预习报告不合格不允许做实验。做实验时只能按照预习报告设计的实验方案和步骤进行,不能看实验教材。 3、在进行实验预习时除了阅读实验指导书意外,还应查阅相关文献资料,对相关知识有更全面的了解。本实验指导的电子版中,在每个实验中都链接有实验操作演示, 打开链接的方法是:按住ctrl用鼠标点击 图标即可打开链接 的内容。(播放视频需要安装较高版本的视频播放器如暴风影音5、迅雷看看、realplayer 等)。 4、实验时应听从实验指导教师的指导,遇到问题及时报告。不听从指导者,教师有权停止其实验,本次实验按不及格纶。 5、学生不能自己擅自决定重做实验,应在老师的指导下作出是否重做的决定,否则本次实验按不及格论。 6、实验中不得有任何作弊行为,否则本课程按不及格论。 7、实验现象和实验数据记录在预习笔记本上,试验完成后交实验指导查阅签字。 8、实验成绩计算方法:考勤5分(迟到扣5分),预习报告25分(包括实验原理、实验装置草图、实验操作流程和步骤、回答提问等),实验操作及记录40分、实验报告30分。

目录 有机化学实验预备知识┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈ 4 实验十三呋喃甲醇和呋喃甲酸的制备及熔点的测定┈┈┈┈┈┈┈5实验十四柱色谱分离偶氮苯和邻硝基苯胺┈┈┈┈┈┈┈┈┈┈┈8 +┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈11 实验十六从茶叶中提取咖啡因┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈17实验十七乙酸乙酯的制备┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈┈21实验十八熔点的测定及温度计校正┈┈┈┈┈┈┈┈┈┈┈┈┈┈25

2012-AutoCAD实验指导书

实验一熟悉AutoCAD基本环境及设置 一实验目的 1、熟悉AutoCAD的软硬件环境、启动、退出、文件管理等方法; 2、熟悉AutoCAD的工作界面、系统配置的修改等; 3、熟悉键盘和鼠标输入命令的方法。 二实验内容 1、认识AutoCAD的硬件及设备配置,学习启动、退出AutoCAD; 2、练习文件管理,包括新建文件、打开旧文件、保存、另存文件等操作; 3、练习用“选项”对话框进行常用的缺省配置修改; 4、练习用键盘和鼠标输入命令,学习工作界面中各部分功能区的使用。 三实验过程及说明 1.启动AutoCAD 进入WindowsXP开始界面后,用鼠标双击桌面上AutoCAD图标,或执行“开始”菜单中AutoCAD命令启动AutoCAD。 2.进入AutoCAD后基本练习 1)新建一文件,分别用“从草图开始”、“使用样板”、“使用向导”三种创建方法; 2)对应三种不同的创建新图的方法,练习绘图界限(LIMITS)、绘图单位(UNITS)等基本设置的操作; 3)熟悉工作界面,主要包括:标题行、下拉菜单、功能区、绘图区、工具栏(标准、绘图屏幕菜单)、命令提示区、状态栏、滚动条、十字光标等,如图1-1所示; 图1-1 AutoCAD 界面的构成

4)了解系统配置选项的修改,通过“选项”对话框练习常用的三项修改:绘图背景色、按实际情况显示线宽、自定义右键功能;(选择“显示”选项卡,修改绘图区背景颜色为白色;选择“用户系统配置”选项卡,设置线宽随图层、按实际大小显示;选择“用户系统配置”选项卡,自定义右键功能。) 说明:其它选项的缺省配置是否修改,根据具体情况自定。 3.退出AutoCAD 退出时,切不可直接关机(会丢失文件),应按下列方法之一进行: 1)从下拉菜单中选取:“文件”→“退出” 2)从键盘键入:EXIT或QUIT 3)单击工作界面标题行右边的“关闭”按钮 如果当前图形没有全部存盘,输入退出命令后,AutoCAD会弹出“退出警告”对话框,操作该对话框后,方可安全退出AutoCAD。 4.用键盘和鼠标练习输入命令LINE、ERASE、UNDO、REDO、ESC等。 1)用LINE命令画几组直线。通过练习要熟悉“C”选项和“U”选项的应用; 2)用ERASE命令擦除。通过它要逐步熟悉3种选择实体的方式;(窗交,框选,单选) 3)用UNDO(U)命令撤销前3个命令,用REDO返回一个命令; 4)用ESC终止命令,回到“Command:”提示符下。 注意: 所有命令在“Command:”提示符下输入,可用键盘直接输入命令名,也可再下拉菜单、功能区或屏幕菜单中直接点取;操作命令中需要选项时,请单击右键,使用右键菜单选项。 四实验题目 1)用NEW命令新建一张图(图幅为A3),进行基本设置后,运用键盘、鼠标等输入命令画图。以实验报告形式说明你新建该图形的步骤及设置情况。 2)用QSAVE命令指定路径,已“一面视图”为名保存。 3)用SAVE AS(另存为)命令将图形另存到软盘上或硬盘上的另一处。 4)关闭当前图形,用OPEN命令打开图形文件“一面视图”。 5)练习结束,关闭当前图形,正确退出AutoCAD。 6)以实验报告形式回答以下问题: (1)AutoCAD的操作界面由哪几部分组成?各部分的作用是什么? (2)如何设置作图窗口的颜色和十字光标的大小? (3)图形文件的“Save”(保存)与“Save as”(另存)有何区别?

有机化学实验指导书重庆邮电学院生物信息学院

有机化学实验指导书 化学教学部 重庆邮电学院生物信息学院 2002年6月23日

前言 本书参考了多门实验教材,主要参照中山大学化学系许遵乐、刘汉标主编的《有机化学实验》。并根据自身的需要,选择了其中部分实验内容,也增添了部分趣味性的实验,如阿司匹林的合成、从茶叶中提取咖啡碱等实验。另外增设了设计性实验,以提高学生实验综合能力。 本教材共包括三个方面的内容:第一部分为有机化学实验的一般知识,包括实验室规则、安全注意事项、有机实验常用仪器装置的介绍等,第二部分为有机化学实验的基本操作,介绍常用有机化学实验单元操作的技术要点等。第三部分为有机化合物的实验,安排有19个不同的实验,分为基本有机合成实验、有机化合物的性质实验等,由于编写时间仓促,加之我们的业务水平有限,书中定有不少错误及万安之处,敬请各校教师和同学们在使用过程中提出批评指正;以不断提高本教材的质量。

目录 第一部分有机化学实验的一般知识 (4) 一、有机化学实验室的安全知识 (4) 二、有机化学实验常用仪器 (7) 三、玻璃仪器的清洗、干燥和塞子的配置 (11) 四、简单玻璃工操作 (14) 五、有机化学反应的常用装置 (16) 六、加热和冷却 (22) 七、实验前的准备工作和实验报告的书写 (24) 第二部分有机化合物的分离提纯 (27) 一、重结晶 (27) 二、蒸馏 (31) 三、分馏 (35) 四、萃取与洗涤 (37) 五、干燥 (41) 第三部分实验 (44)

一、基本操作训练 (44) 实验一简单玻璃工操作 (44) 实验二蒸馏和沸点测定 (45) 实验三重结晶 (46) 二、合成实验 (48) 实验四1-溴丁烷 (48) 实验五环己稀 (50) 实验六苯乙酮 (51) 实验七己二酸 (53) 实验八乙酰苯胺 (54) 实验九乙酰乙酸乙酯 (55) 实验十β-萘乙醚 (57) 实验十一乙酸乙酯 (58) 实验十二乙醚 (59) 实验十三乙酸正丁酯 (60) 三、有机化合物的性质实验 (61) 实验十四卤代烃的化学性质 (61) 实验十五酚的化学性质 (61)

参考答案 模拟电子技术实验指导书(2012)

实验一 常用电子仪器的使用 一、 实验目的 1.熟悉示波器,低频信号发生器和晶体管毫伏表等常用电子仪器面板,控制旋钮的名称,功能及使 用方法。 2.学习使用低频信号发生器和频率计。 3.初步掌握用示波器观察波形和测量波形参数的方法。 二、实验原理 在电子电路实验中,经常使用的电子仪器有示波器、低频信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1—1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 图1—1 模拟电子电路中常用电子仪器布局图 1. 低频信号发生器 低频信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20V (峰-峰值)。通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。低频信号发生器的输出信号频率可以通过频率分档开关进行调节。 低频信号发生器作为信号源,它的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围之内,用来测量正弦交流电压的有效值。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置上,然后在测量中逐档减小量程。 3.示波器 示波器是一种用途极为广泛的电子测量仪器,它能把电信号转换成可在荧光屏幕上直接观察的图象。示波器的种类很多,通常可分通用、多踪多线、记忆存贮、逻辑专用等类。 双踪示波器可同时观测两个电信号,需要对两个信号的波形同时进行观察或比较时,选用双踪示波器比较合适。 本实验要测量正弦波和方波脉冲电压的波形参数,正弦信号的波形参数是幅值U m 、周期T (或频率f )和初相;脉冲信号的波形参数是幅值U m 、周期T 和脉宽T P 。幅值U m 、峰峰值U P-P 和有效值都可表示正弦量的大小,但用示波器测U P-P 较方便(用万用表交流电压档测得的是正弦量的有效值U= 2 m U )。由于频率f= T 1 , 所以测出周期T ,即可算得频率。矩形脉冲电压,可用周期T ,脉宽T P 和幅值U m 三个参数来描述。T P 与T 之比称为占空比。 三、 实验内容和步骤

有机化学课程实验指导书改革探索

有机化学课程实验指导书改革探索 发表时间:2020-03-13T20:07:06.673Z 来源:《教育学文摘》2019年第17期作者:崔庆荣 [导读] 在我国快速发展的过程中,我国的教学在不断的改革完善,有机化学实验课程可以辅助有机化学理论教学摘要:在我国快速发展的过程中,我国的教学在不断的改革完善,有机化学实验课程可以辅助有机化学理论教学,帮助学生更好地理解理论知识,同时锻炼学生地动手操作能力,培养学生观察思考、创新创造能力,然而在实际教学中效果不尽如人意,通过分析实际教学中存在的问题,从教学内容、教学方式、考核方式上提出改进方法,以期达到理想效果。 关键词:课程衔接;翻转课堂;考核方式 引言 有机化学是高校化学系四大基础化学之一,主要分布在化学化工、轻工、制药、材料、生物、药化等领域,是一门必修的基础课程。目前,有机化学已经渗透到生产生活的方方面面,处处体现着有机化学的重要研究地位与学习意义,因此学好有机化学显得异常重要。作为化学学科的活跃领域,有机化学的发展非常迅速,内容也越来越丰富,从而对现有的教学方法与教学模式提出了新的要求。如何在有限的学时内,出色地完成教学计划、保证教学质量,同时提高学生的综合实力与创新能力,成为有机化学改革的重要命题。 1“有机化学”课程教学存在的问题 “有机化学”是化学中极其重要的一个分支,是研究有机化合物组成、结构、性质、制备方法与应用的一门学科。“有机化学”课程理论性和系统性较强,知识联系紧密,内容信息量大,反应机理抽象,是应用化学专业最难的基础课程之一。在传统的教学模式中,均采用教师上课讲、学生听的授课模式。尤其近年来,随着多媒体教学的快速发展,对“有机化学”的教学产生了很大的影响。多媒体教学降低了教师书写板书需要的时间,看似提高了教学效率,实则严重降低了学生的学习效率。由于采用多媒体教学方式,教师无需进行大量板书的书写,使其授课的速度相对变快,这将对学生的基础和学习能力均有较高的要求。广东海洋大学的生源质量相对于国内的一流大学较差,使得学生很难适应教师的讲课速度,学习效率较低。因此,在“有机化学”课程教学中采用传统的多媒体教学存在诸多弊端,极有可能给学生造成一种教师上课翻课件的错觉。“有机化学”课程中反应类型较多、尤其典型的人名反应多。对于地方院校学生而言,整体基础相对薄弱,大多数学生不能很好地理解其反应机理,而采用死记硬背的方式以应对最终的考核,学习效率低下。 2有机化学课程实验指导书改革探索 2.1实验器材图片化 在传统的实验指导书中,关于实验用的器材都是用文字描述,如烧杯、蒸发皿、分液漏斗、布氏漏斗、抽滤瓶、蒸馏烧瓶、冷凝管、水浴锅、石棉网、茶叶、1%Pb(Ac)2溶液、氯仿、饱和食盐水。按照高职学生的学习特点,以前很少接触的实验器材只看文字学生并不一定会知道是什么,因此建议将实验器材除了有文字描述外还配有彩色的实物图对照,方便高职的学生快捷的明确实验准备工作。 2.2考核方式的改革 教学效果和学习效果的检验必然需要一个完善的考核方式。随着社会的不断发展,现有的“有机化学”课程考核方式已不能满足人才培养的需要。现代企业需要基础知识扎实且具有较强动手能力的综合型人才,因此必须进一步完善现有的考核体系。应该从多方面评价学生的学习效果,以促使学生认真完成学习任务。目前,我校应用化学专业将“有机化学”理论教学与实验教学分别管理,分别考核,强化理论教学,突出实践环节的重要性。理论教学的成绩由期末考试成绩和平时成绩两部分组成,其中期末考试成绩占60%,平时成绩占40%。平时成绩包含考勤、作业、测验和课堂表现四个部分,并对其进行量化。实验教学的成绩由考勤、实验报告、实验操作、实验结果和突发问题处理四部分组成。注重实践教学环节,将理论知识与实践相结合,不仅使学生认识到有机化学课程在应用专业中的重要性,而且促进了学生学习有机化学的兴趣并培养了其探索精神。 2.3丰富教学内容,培养学生兴趣 在教学工作中,教师是主导,学生是主体。每位授课教师都需要找到适合自己的教学方法,方能高效地引导学生领悟知识、掌握知识。托尔斯泰说过:“成功的教学,所需的不是强制,而是激发学生学习的兴趣。”而成功的老师更应该对其所讲授的课程充满激情、充满兴趣,从而用自己的热情去感染学生,激发学生学习的兴趣,进一步提高教学质量。在授课初期,重点介绍有机化学的发展史、有机化学的学习价值,提高学生对有机化学的认识。之后,在每一章的教学过程当中补充有机化学的学科新发展,拓宽学生的知识领域,同时引导学生查阅相关资料,与所学知识进行关联融合,培养学生的自学能力,增强学生的求知欲。有机化学与人们的生产生活息息相关,在授课过程当中,补充有机化学的日常应用及与之相关的生命现象,丰富教学内容,加深学生对所学知识的理解,活跃课堂气氛,提高学生学习的主动性。 2.4实验步骤碎片化 建议将实验步骤分解为若干子步骤。如茶叶中咖啡因的提取实验,其实验步骤可以分为以下9个子步骤:1)烧杯中茶叶3g+热水100mL→煮沸15~20分钟;2)过滤→茶叶渣(弃去)→滤液→逐滴加入10mL10%Pb(Ac)2;3)加热5分钟→抽滤→滤渣(弃去)滤液(转移至蒸发皿中)浓缩至30mL;4)冷却;转移分液漏斗;5)加入氯仿15mL及饱和食盐水10mL;6)剧烈振荡;7)静置片刻;8)分离→氯仿层(转入蒸馏烧瓶)水层(弃去)→水浴蒸馏(回收氯仿约10mL);9)转入小烧杯中,水浴蒸去氯仿→咖啡因粗品。然后每一段子步骤的文字描述配备一段微视频及其二维码。学生按照实验操作子步骤的文字说明逐项操作,能理解的就对照文字直接操作。遇到有疑问的、难以理解的子步骤,则使用手机扫描二维码(5分钟以内),边看标准操作录像边对照操作。 2.5考核方式 考试的目的是为了发现学生在学习中存在的问题和不足,之后采取切实可行的措施加以改进,让学生不断改进自己,完善自己。有机化学实验操作的规范性只是最基础的要求,重要的是学生的思维能力,设计能力和创造能力,因此要改变传统的根据实验操作水平给学生打分的方式。教师应该根据学生所学的理论知识,筛选出一些重要但合成步骤较少的药物分子结构,把这些结构布置给学生,让学生以组为单位选择一个药物分子,学生可以通过自由讨论查阅文献等方法设计合理的合成路线,然后整理成一份预实验报告,其中每一步反应都要写出详细步骤,阐明原因,考试前让每组学生对自己设计的路线进行讲解并接受其他同学的提问和质疑,最后在大家讨论的基础上形成一份最终的实验方案,大家以这一方案进行实验,实验过程中学生要随时观察,记录出现的问题,对一些讨论中没有发现的问题一定要及时讨论解决。在这一过程中可以让学生们充分参与进来,调动起来兴趣,让他们认为这是“自己的实验”而不是老师布置的任务。在教学中

相关主题
文本预览
相关文档 最新文档