当前位置:文档之家› 用JK触发器和门电路设计一个4位格雷码计数器

用JK触发器和门电路设计一个4位格雷码计数器

用JK触发器和门电路设计一个4位格雷码计数器
用JK触发器和门电路设计一个4位格雷码计数器

福建农林大学金山学院

课程设计报告

课程名称:数字逻辑

课程设计题目:用JK触发器和门电路设计一个4位

格雷码计数器

姓名:

系:

专业:

年级:

学号:

指导教师:

职称:

2011年6 月29 日

用JK触发器和门电路设计一个4位格雷码计数器

一、实验目的

1、用JK触发器和门电路设计一个4位格雷码计数器。

2、加强对格雷码的认识。

3、熟悉对JK触发器的使用。

4、利用仿真软件Multisim11.0对数字电路进行仿真和实现。

二、仿真软件Multisim介绍

(注:因为本课程设计用的是2011年的版本,所以对此进行简单的介绍)?仿真软件Multisim11.0:NI Multisim软件是一个专门用于电子电路仿真与设计的EDA工具软件。作为 Windows 下运行的个人桌面电子设计工具,NI Multisim 是一个完整的集成化设计环境。NI Multisim计算机仿真与虚拟仪器技术可以很好地解决理论教学与实际动手实验相脱节的这一问题。学员可以很方便地把刚刚学到的理论知识用计算机仿真真实的再现出来,并且可以用虚拟仪器技术创造出真正属于自己的仪表。NI Multisim软件绝对是电子学教学的首选软件工具。

?特点:①直观的图形界面。②丰富的元器件。③强大的仿真能力。④丰富的测试仪器。⑤完备的分析手段。⑥独特的射频(RF)模块。⑦强大的MCU模块。

⑧完善的后处理。⑨详细的报告。⑩兼容性好的信息转换。

三、实验步骤(包括设计过程、仿真结果和结果分析)

⒈设计过程

② 按状态转换表的计数顺序可得****3210Q Q Q Q 的卡诺图:

从而分别得出*3Q 、*2Q 、*1Q 、*0Q 、C 的卡诺图:

③ 由卡诺图得出状态方程和输出方程:

*''''''''3313021021032103()()'Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q =++=+

|*''''''2212031031023102()()'Q Q Q Q Q Q QQ Q QQ Q Q QQ Q =++=+

*'''11032032023010321(()')(())'Q QQ Q Q Q Q Q Q Q Q Q Q Q Q Q Q =++=⊕+⊕

*'''''''032132132132132103210()'()'Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q =+++=⊕⊕+⊕⊕'''3210C Q Q Q Q =

④ 又JK 触发器的特性方程为:*''Q JQ K Q =+,所以可得驱动方程:

''3210J Q Q Q =,'''3210K Q Q Q =

''2310J Q QQ =,'2310K Q QQ =

1320()'J Q Q Q =⊕,1320()K Q Q Q =⊕ 0321()'J Q Q Q =⊕⊕,0321K Q Q Q =⊕⊕ ⑤ 由驱动方程可画出逻辑电路图:

⒉仿真结果

①原理图如下:

②部分波形图如下:⑴0000~1101

⑵1101~0011

③ 电路状态变化如下: ⑴32100000Q Q Q Q =,0C =

⑵32100001Q Q QQ =,

0C =

⑶32100011Q Q QQ =,

0C =

3210

⑸32100110Q Q Q Q =,0C =

⑹32100111Q Q QQ =,

0C =

3210

⑻32100100Q Q Q Q =,0C =

⑼32101100Q Q QQ =,

0C =

3210

⑾32101111Q Q QQ =,0C =

⑿32101110Q Q QQ =,0C =

3210

⒁32101011Q Q QQ =,0C =

⒂32101001Q Q QQ =,0C =

⒃32101000Q Q QQ =,1C =

⒊结果分析

当3Q 、2Q 、1Q 、0Q 从00001000 完时,进位输出C 才为1,其

他情况都为0,符合题意要求。 四、实验总结

通过这次课程设计,我进一步的学习了仿真软件Multisim 11.0的相关功能,对其里面的电子元件也有了更深入的了解。在整个电路设计的过程中,我学习了格雷码的使用以及JK 触发器的知识,再一次复习了真值表和卡诺图的使用。同时自身的分析问题能力和动手画图能力也得到了提高。

实验四 计数器电路设计

实验四、计数器电路的设计 一、实验目的 1、掌握计数器电路的设计方法; 2、进一步掌握电路的设计、编译、仿真和下载测试的方法。 二、实验要求 1、基本要求 1)设计一个具有异步复位和同步使能的4位二进制加法计数器 2)设计一个具有异步复位和同步使能、并行置数的加减可控的8位二进制计数器 3)设计一个具有异步复位和同步使能的BCD码加法计数电路, 2、扩展要求 1)设计一个具有异步复位和同步使能的六十进制加法计数电路 2)设计一个具有异步复位和同步使能的二十四进制加法计数电路 三、实验原理 四、实验内容及步骤 1、建立一个工程项目,路径如:D:\A0512301\forth,项目名和顶层实体名为count。 2、设计一个具有异步复位和同步使能的4位二进制计数器,并进行编译仿真与下载测试; 3、设计一个具有异步复位和同步使能、并行置数的加减可控的8位二进制计数器,并进行编译仿真与下载测试; 4、设计一个具有异步复位和同步使能的十进制加法计数电路,并进行编译仿真与下载测 试; 五、参考程序 1、四位加法计数器 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; USE IEEE.STD_LOGIC_UNSIGNED.ALL ; ENTITY CNT4 IS PORT ( CLK : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ) ; END ; ARCHITECTURE bhv OF CNT4 IS SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS (CLK) BEGIN IF CLK'EVENT AND CLK = '1' THEN Q1 <= Q1 + 1 ; END IF; END PROCESS ; Q <= Q1 ; END bhv; 2、异步复位,同步使能十进制加法计数器 LIBRARY IEEE;

基本门电路实验报告处理

43121556423156实验三:基本门电路及触发器 实 验 室: 实验台号: 日 期: 2016.10.7 专业班级: 姓 名: 学 号: 一、 实验目的 1.了解TTL 门电路的原理,性能好使用方法,验证基本门电路逻辑功能。 2.掌握门电路的设计方法。 3.验证J-K 触发器的逻辑功能。 4.掌握触发器转换的设计方法。 二、实验内容 (一)验证以下门电路的逻辑关系 1. 用与非门(00)实现与门逻辑关系:F=AB 2. 异或门(86): (二):门电路的设计(二选一) 1.用74LS00和74LS86 设计半加器. 2.用TTL 与非门设计一个三人表决电路。 A B C 三个裁判,当表决某个提案时,多数人同意提案为通过。 (1为同意,0为不同意) 要求:用74LS00和 74LS10芯片。 (三)验证JK 触发器的逻辑关系 1.J-K 触发器置位端、复位端及功能测试。 图3-1 JK 触发器(74LS112)和D 触发器(74LS74) 2、设计J-K 触发器转化成D 触发器的电路 利用与非门和J-K 触发器设计并测试逻辑功能。 B A B A B A F ⊕=+=n n n n n n n B A B A B A S ⊕=+=' n n n B A C ='

A B F 三、实验原理图 图3-2与门电路 图3-3 异或门电路 图3-4半加器 四、实验结果及数据处理 1. 直接在实验原理图上标记芯片的引脚。 2. 写出实验结果。 (1)与门、异或门实验结果表(用数字万用表测量高低电平1、0的电压值。) (2)半加器实验结果 (3) 表决电路结果 =1A B F

具有加减识别功能的绕线机电子计数器

目录 摘要 (2) 前言 (3) 第一章设计方案 (4) 1.1设计目的 (4) 1.2设计要求 (4) 1.3总原理框图 (4) 1.3各单元框图电路设计 (4) (1)信号采集电路 (4) (2)加减识别电路 (5) (3)计数、译码、驱动、显示 (7) 第二章相关元器件介绍 (9) 2.1红外发射接收对管 (9) 2.2施密特触发器 (10) 11 (12) 2.5 CD4013-双上升沿D触发器 (12) 2.6 CD40110 (14) 第三章具有加减识别功能的绕线机电子计数器总电路 (16) 3.1总电路图 (16) 3.2总电路原理 (17) 第四章结论 (18) 参考文献 (19) 致谢 (20)

摘要 绕线机是用来绕制线圈的专用设备,而计数器则起到了计数作用。通过计数器我们可以了解产品的工作状态。绕线机种类很多,由于各种线圈产品的功能要求不同,目前常见绕线机的有全自动绕线机、半自动绕线机、环形绕线机、伺服精密绕线机、变压器绕线机、电感线圈绕线机等机种。本课程设计主要是通过红外线传感器来计数绕线机转数,然后通过计数,锁存,译码等步骤最终在数码管显示数字。 关键词:绕线机,CD4013,CD40106,CD40110,红外对管 Abstract Coiling machine is used for the special equipment of Coilingaround the system, and counter does the count function. Through the counter we can understand the working state of the products. Coiling machine type many, because all kinds of different requirements for the product function coil, the most common of the winding machine fully automatic winding machine, semi-auto coiling machine, annular coiling machine, servo precision coiling machine, transformer winding machine, inductance coil winding machine model. This course is designed by infrared sensors to count coiling machine RPM, and then through the count, lock to save, steps in the digital decoder eventually pipe display Numbers Keyword:coiling machine,CD4013,CD40106,CD40110,infrared geminate transistors 前言 绕制绕组设备一般都装计数器,常用的计数器有机械式和电子式计数器。在绕组绕制中,当绕组匝数达到一定值停机,由于绕线机转动惯量很大,绕线机不会立刻停止转动,即使提前采取措施也很难绕到规定匝数,还去要正转或者反转调整。为此我们需要设计一个绕线机计数器来对绕线机转数进行计数控制。而数字式电子计数器有直观和计数精确的优点,目前已在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的。有采用机械方式的接触式触发的,有采用电子传感器的非接触式触发的,光电式传感器是其中之一,它是一种非接触式电子传感器,利用光电元件制成的自动计数装置。其工作原理是从光源发出的一束平行光照射在光电元件(如光电管、光敏电阻等)上,每当这束光被遮挡一次时,光电元件的工作状态就改变一次,通过放大器可使计数器记下被遮挡的次数。常用于记录成品数量或展览会参观者人数。这种计数器在工厂的生产流水线上作产品统计,有着其他计数器不可取代的优点。该例光电触发式电子计数器只有两位数,但通过级联可以扩展为四位,甚至多位。

基本门电路及触发器 电子版实验报告

J CP K S D R D Q Q S D R D D CP Q Q 43121556423156实验三:基本门电路及触发器 实 验 室: 实验台号: 日 期: 专业班级: 姓 名: 学 号: 一、 实验目的 二、实验内容 (一)验证以下门电路的逻辑关系 1. 用与非门(00)实现与门逻辑关系:F=AB 2. 异或门(86): (二):门电路的设计(二选一) 1.用74LS00和74LS86 设计半加器. 2.用TTL 与非门设计一个三人表决电路。 A B C 三个裁判,当表决某个提案时,多数人同意提案为通过。 (1为同意,0为不同意) 要求:用74LS00和 74LS10芯片。 (三)验证JK 触发器的逻辑关系 1.J-K 触发器置位端、复位端及功能测试。 图3-1 JK 触发器(74LS112)和D 触发器(74LS74) 2、设计J-K 触发器转化成D 触发器的电路 利用与非门和J-K 触发器设计并测试逻辑功能。 B A B A B A F ⊕=+=n n n n n n n B A B A B A S ⊕=+=' n n n B A C ='

&A B &F 三、实验原理图 图3-2与门电路 图3-3异或门电路 图3-4半加器 四、实验结果及数据处理 1. 直接在实验原理图上标记芯片的引脚。 2. 写出实验结果。 (1)与门、异或门实验结果表(用数字万用表测量高低电平1、0的电压值。) 输入 与门 异或门 A B F U o (V ) F 0 0 0 1 1 0 1 1 (2)半加器实验结果 (3) 表决电路结果 A n B n n S ' n C ' 0 0 0 1 1 0 1 1 A B C F 0 0 0 0 0 1 0 1 0 0 1 1 =1A B F

具有加减识别功能的绕线机电子计数器

目录 摘要................................................................................................................................ 错误!未定义书签。前言................................................................................................................................ 错误!未定义书签。第一章设计方案 .. (4) 1.1设计目的 ......................................................................................................... 错误!未定义书签。 1.2设计要求 ......................................................................................................... 错误!未定义书签。 1.3总原理框图..................................................................................................... 错误!未定义书签。 1.3各单元框图电路设计................................................................................... 错误!未定义书签。 (1)信号采集电路 ......................................................................... 错误!未定义书签。 (2)加减识别电路 ......................................................................... 错误!未定义书签。 (3)计数、译码、驱动、显示 ..................................................... 错误!未定义书签。第二章相关元器件介绍. (9) 2.1红外发射接收对管 (9) 2.2施密特触发器 (10) 2.3 ST188 (11) 2.4芯片CD40106.................................................................................... 错误!未定义书签。 2.5 CD4013-双上升沿D触发器 (12) 2.6 CD40110............................................................................................. 错误!未定义书签。第三章具有加减识别功能的绕线机电子计数器总电路.............. 错误!未定义书签。 3.1总电路图 ........................................................................................................ 错误!未定义书签。 3.2总电路原理.................................................................................................... 错误!未定义书签。第四章结论 ............................................................................................................. 错误!未定义书签。参考文献 ..................................................................................................................... 错误!未定义书签。致谢................................................................................................................................ 错误!未定义书签。

计数器电路

实验报告 课程名称: 电路与电子技术实验II 指导老师: 周箭 成绩:__________________ 实验名称: 计数器电路 实验类型: 同组学生姓名: 一、 引脚图: 引脚接入分布:16脚:高电平(5V )8脚:GND 。CP 接实验箱中1HZ 脉冲。CT T ,?LD,CT P ,?CR 引脚都接为高电平+5V 。14~11引脚依次接到一路译码电路的A 、B 、C 、D 。 1、测试集成计数器74161的功能 在复位信号为低电平时实现异步复位(清零)功能,即复位不需要时钟信号。在复位端高电平条件下,预置端为低电平时实现同步预置功能,即需要有效时钟信号才能使输出状态。等于并行输入预置数A B C D 。在复位和预置端都为无效电平时,两计数使能端输入使能信号,74LS161实现模16加法计数功能。两计数使能端输入禁止信号,集成计数器实现状态保持功能。 测试记录如下:

与74161的功能表一致 先说明一下反馈清零法: 模为M 的单片集成计数器,若无任何控制,其状态转换图为: 若实现模为N (N < M),则应调整状态转换图。实现方案: 正常计数时,清零端无效;计数至某个数据时,清零端有效,计数器清零; 然后,清零端(自动)恢复为无效,计数器从零开始重新计数。 二、六进制计数器 设计思路: 当74HC161的输出端输出6时,利用其优先级最高的异步清零端将输出立刻置为零即可,原理图如下:(需要一片74161和74ls00与非门)

三、二十四进制数字钟 在上一个实验所设计的十进制数字钟的基础上,加上一片74HC161,设计二十四进制数字钟。 电路图如下 共需用到3个与非门,一个与门,和2片74LS00完成 CP脉冲一开始设置为1Hz。实验结果用译码电路来显示,分别将两只74161的A,B,C,D接到两个译码电路的DA,DB,DC,DD上,接通电源后可以清晰看见译码器在0-23之间不断切换。切换CP脉冲的频率为32Hz,能更加清晰地看见计数器的变化(1Hz的数字显示跳动过快不易看清)。 但在实验中,我们发现了一个有趣的问题,就是当CP脉冲为32HZ时,这个电路的自启动过程有时候会

东北大学电子实验三基本门电路及触发器

实验三:基本门电路及触发器 实 验 室:信息学馆347 实验台号: 27 日 期: 专业班级: 机械130班 姓 名: 学 号: 2013309 一、 实验目的 1.了解TTL 门电路的原理、性能和使用方法,验证基本门电路逻辑功能。 2. 掌握门电路的设计方法。 3.验证J-K 触发器的逻辑功能。 4.掌握触发器转换的设计方法。 二、实验内容 (一)验证以下门电路的逻辑关系 1. 用与非门(00)实现与门逻辑关系:F=AB 2. 异或门(86): (二):门电路的设计(二选一) 1.用74LS00和74LS86 设计半加器. 2.用TTL 与非门设计一个三人表决电路。 A B C 三个裁判,当表决某个提案时,多数人同意提案为通过。 (1为同意,0为不同意) 要求:用74LS00和 74LS10芯片。 B A B A B A F ⊕=+=n n n n n n n B A B A B A S ⊕=+='n n n B A C ='

&A B & F J CP K S D R D Q Q S D R D D CP Q Q 431215 5 6 42315 6 (三)验证JK 触发器的逻辑关系 1.J-K 触发器置位端、复位端及功能测试。 图3-1 JK 触发器(74LS112)和D 触发器(74LS74) 2、设计J-K 触发器转化成D 触发器的电路 利用与非门和J-K 触发器设计并测试逻辑功能。 三、实验原理图 图3-2与门电路 图3-3异或门电路 图3-4半加器 四、实验结果及数据处理 1. 直接在实验原理图上标记芯片的引脚。 =1 A B F

绕线机计数器程序

声明:本程序已经调好并已投入实际生产中。此公开的目的是给单片机初学者的一个简单的实例,供学习用。本人的宗旨是:“人人为我,我为人人”。大家共同分亨学习及改证,如转贴请注明出处。请勿用于商业途径! 2006-2-10整理 以下程序请”大虾”不吝指正!!!! ;***********************************; ; 绕线机计数器程序; ;CPU : 89C2051 版本: V2.7 ; ;可预置数--电机车间专用; ;创建:2005-02-01 修改于:2005.09.29 ; ;作者:孙世林; ; ; E-mail:sunlin137@https://www.doczj.com/doc/1f16448504.html, ; ;***********************************; ; ;****************; ; 伪定义; ;****************; LED0 EQU 3AH; 存放显存的小数 LED1 EQU 3BH; 存放个位数 LED2 EQU 3CH; 存放十位数 LED3 EQU 3DH; 存放百位数 LED4 EQU 3FH; 存放千位数 SLOW0 EQU 40H; 存放减速预置数小数 SLOW1 EQU 41H; 存放减速预置数个位 SLOW2 EQU 42H; 存放减速预置数十位 SLOW3 EQU 43H; 存放减速预置数百位 SLOW4 EQU 44H; 存放减速预置数千位 TOEND0 EQU 45H; 存放预置数小数位 TOEND1 EQU 46H; 存放预置数个位 TOEND2 EQU 47H; 存放预置数十位 TOEND3 EQU 48H; 存放预置数百位 TOEND4 EQU 49H; 存放预置数千位 L1BIT1 EQU 4AH; 存放相应键位中的预置数L1

计数器设计和原理

二.计数器设计 1.实验目的 计数器在数字逻辑设计中的应用十分广泛,可以对时钟信号进行计数,分频和产生序列信号,也可以用在计时器和串并转换等电路。这次实验我们就来学习一下如何用Robei和Verilog语言来设计一个4比特计数器。 2.实验要求 计数器对每个时钟脉冲进行技术,并将计数值输出出来。这个实验我们来设计一个4比特的计数器,其技术范围在0~F之间,也就是计数到最大值16. 设计波形要求如图1所示。 图1. 计数器输出波形要求 3.实验内容 3.1 模型设计 1)新建一个模型。点击工具栏上的图标,或者点击菜单“File”然后在下 拉菜单中选择“New”,会有一个对话框弹出来(如图2所示)。在弹出的对话框中设置你所设计的模型。

图2. 新建一个项目 参数填写完成后点击“OK”按钮,Robei就会生成一个新的模块,名字就是counter,如图3所示: 图3. 计数器界面图 2)修改模型。在自动生成的界面图上进行名称的修改,输入引脚为clock, enable 和reset,输出引脚修改成count。其中count引脚的“Datasize”为4比特,用户可以输入4,也可以输入3:0。为了区分每个引脚,我们可以修改每个引脚的Color值,并点回车保存。修改完成后如图4所示。如果选中模块,按“F1”键,就会自动生成一个Datasheet,如图5所示。

图4. 修改引脚属性 图5. “Datasheet”截图 3)输入算法。点击模型下方的Code(如图6所示)进入代码设计区。

图6. 点击Code输入算法 在代码设计区内输入以下Verilog代码: always @ (posedge clock) //学习always语句的写法,并设置敏感信号。时钟上升沿触发begin //学习Verilog if else语句的写法 if (reset == 1) begin count<= 0; end //if enable is 1, counter starts to count else if (enable == 1) begin count <= count + 1; end end 4)保存。点击工具栏图标,或者点击菜单“File”中的下拉菜单“Saveas”, 将模型另存到一个文件夹中。 5)运行。在工具栏点击或者点击菜单“Build”的下来菜单“Run”,执 行代码检查。如果有错误,会在输出窗口中显示。如果没有错误提示,恭喜,模型counter设计完成。 3.2测试文件设计

东北大学电子实验三基本门电路及触发器(终审稿)

东北大学电子实验三基本门电路及触发器 公司内部档案编码:[OPPTR-OPPT28-OPPTL98-OPPNN08]

实验三:基本门电路及触发器 实 验 室:信息学馆347 实验台号: 27 日 期: 专业班级: 机械130班 姓 名: 学 号: 2013309 一、 实验目的 1.了解TTL 门电路的原理、性能和使用方法,验证基本门电路逻辑功能。 2. 掌握门电路的设计方法。 3.验证J-K 触发器的逻辑功能。 4.掌握触发器转换的设计方法。 二、实验内容 (一)验证以下门电路的逻辑关系 1. 用与非门(00)实现与门逻辑关系:F=AB 2. 异或门(86): (二):门电路的设计(二选一) 1.用74LS00和74LS86 设计半加器. 2.用TTL 与非门设计一个三人表决电路。 A B C 三个裁判,当表决某个提案时,多数人同意提案为通过。 (1为同意,0为不同意) 要求:用74LS00和 74LS10芯片。 B A B A B A F ⊕=+=n n n n n n n B A B A B A S ⊕=+='n n n B A C ='

&A B & F J CP K S D R D Q Q S D R D D CP Q Q 431215 5 6 42315 6 (三)验证JK 触发器的逻辑关系 1.J-K 触发器置位端、复位端及功能测试。 图3-1 JK 触发器(74LS112)和D 触发器(74LS74) 2、设计J-K 触发器转化成D 触发器的电路 利用与非门和J-K 触发器设计并测试逻辑功能。 三、实验原理图 图3-2与门电路 图3-3异或门电路 图3-4半加器 四、实验结果及数据处理 1. 直接在实验原理图上标记芯片的引脚。 =1 A B F

门电路和触发器

第九节门电路和触发器 电子电路通常分模拟电子电路和数字电子电路两大类。前面介绍的放大电路属于第一类,电路中的工作信号是连续变化的电信号(模拟信号)。数字电路的基本工作信号是二进制的数字信号,它在时间上和数值上是离散的,即不是连续渐变的,而且只有0和1两个基本数字,反映在电路上就是低电平和高电平两种状态。因此在稳态时,电路中的半导体器件都是工作在开、关状态。数字电路是由几种最基本的单元电路组成的。在这些基本单元中,对元件的精度要求不高,只要在工作时能够可靠地区分0和1两种状态就可以了。数字电路中研究的主要问题是输入信号的状态(0或1)和输出信号的状态(0或1)之间的关系,即所谓逻辑关系,采用的数学工具是逻辑代数。 一、逻辑代数基础 在逻辑代数中变量具有二值性,即只有两个可能的取值“0”和“1”。 (一)基本的逻辑运算 逻辑代数的基本运算有三种,即“与”运算、“或”运算和“非”运算。 1.“与”运算也称“与”关系,它可表述为:当决定一事件的所有条件都具备之后,这事件才会而且一定会发生。在现实生活中,“与”逻辑关系很多,如图8-9-1,开关 A,B控制一盏灯Z。灯亮的条件是开关A、B同时合上。假定灯亮为“1”,不亮为“0”。开关合上为“1”。断开为“0”,把灯的状态和开关所处位置之间的关系列如表8-9-1 所示。这种表称真值表(或称功能表),其逻辑表达式为, Z=A·B 所以“与”关系也称为逻辑乘。运算规则为:0·0=0,0·1=0,1·0=0,1·1=1。 2.“或”运算:在决定一事件的各个条件中,只要具备一个或一个以上的条件,这事件就会发生,这样的因果关系称“或”逻辑关系。用并联的两个开关控制一盏灯,如图 8-9-2所示只要开关A或月有一个处于合上位置灯就会亮。按前面的假定来赋值“0”、“1”,可列出真值表如表8-9-2,其逻辑表达式为Z=A+B。所以“或”关系也称为逻辑加。运算规则为:0+0=0,0+1=1,1+0=1,1+1=1。

东北大学电子实验三:基本门电路及触发器

实验三:基本门电路及触发器 实验室:信息学馆347实验台号:27 日期:___________ --- 专业班级:机械130班姓名:学号:2013309 、实验目的 1. 了解TTL门电路的原理、性能和使用方法,验证基本门电路逻辑功能。 2. 掌握门电路的设计方法。 3. 验证J-K触发器的逻辑功能。 4. 掌握触发器转换的设计方法。 、实验内容 S n A n B n A n B n A n B n (一)验证以下门电路的逻辑关系 C n A n Bi 1. 用与非门(00)实现与门逻辑关系:F=AB F AB AB A B 2. 异或门(86): (二):门电路的设计(二选一) 1. 用74LS00和74LS86设计半加器. 2. 用TTL与非门设计一个三人表决电路。 ABC 三个裁判,当表决某个提案时,多数人同意提案为通过。 (1为同意,0为不同意) 要求:用74LS00和74LS10芯片(三)验证JK触发器的逻辑关系 1. J-K触发器置位端、复位端及功能测试

图3-1 JK触发器(74LS112)和D触发器(74LS74) 2、设计J-K触发器转化成D触发器的电路 利用与非门和J-K触发器设计并测试逻辑功能 三、实验原理图 F 图3-2与门电路图3-3异或门电路 图3-4半加器 四、实验结果及数据处理 1.直接在实验原理图上标记芯片的引脚

2.写出实验结果。 (1)与门、异或门实验结果表(用数字万用表测量高低电平 1、0的电压值。) (2)半加器实验结果 决电路结果

(5) 输入端输出原态输出次态R D S D J K Q Q+1 01*** 1 A B C F 0000 0010 0100 0111 1000 1011 1101 1111决电路图(可以拍照 (4)表 图): A A

两位计数器电路设计

课题二:两位计数器电路设计(选做) 一.设计目的 本文通过对两位计数器电路的分析、仿真,阐述了计数器电路的一些设计方法,并论证了计数器电路的实现原理及过程。文中还使用了Hspice电路设计仿真软件,这样能让读者更直观的了解计数器电路的工作原理及组成结构。对数字电子技术课程中计数器有新的认识。对Hspice软件使用进一步的掌握。 二.设计原理 计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。 计数器的种类很多,按时钟脉冲输入方式的不同,可分为同步计数器和异步计数器;按进位体制的不同,可分为二进制计数器和非二进制计数器;按计数过程中数字增减趋势的不同,可分为加计数器、减计数器和可逆计数器。

二进制异步减计数器 (1)n位二进制异步计数器由n个处于计数工作状态(对于D 触发器,使Di=Qin;对于JK 触发器,使Ji=Ki=1) 的触发器组成。各触发器之间的连接方式由加、减计数方式及触发器的触发方式决定。对于加计数器,若用上升沿触发的触发器组成,则应将低位触发器的Q 端与相邻高一位触发器的时钟脉冲输入端相连(即进位信号应从触发器的Q 端引出);若用下降沿触发的触发器组成,则应将低位触发器的Q 端与相邻高一位触发器的时钟脉冲输入端连接。对于减计数器,各触发器的连接方式则相反。 (2)在二进制异步计数器中,高位触发器的状态翻转必须在低一位触发器产生进位信号(加计数)或借位信号(减计数)之后才能实现。故又称这种类型的计数器为串行计数器。也正因为如此,异步计数器的工作速度较低。 二进制同步计数器 为了提高计数速度,可采用同步计数器,其特点是,计数脉冲同时接于各位触发器的时钟脉冲输入端,当计数脉冲到来时,各触发器同时被触发,应该翻转的触发器是同时翻转的,没有各级延迟时间的积累问题。同步计数器也可称为并行计数器。 二进制同步加计数器 各位触发器的时钟脉冲输入端接同一计数脉冲CP ,各触发器的驱动方程分别为J0=K0=1、J1=K1=Q0、J2=K2=Q0Q1、J3=K3=Q0Q1Q2 。 在同步计数器中,由于计数脉冲CP 同时作用于各个触发器,所

基本逻辑门电路知识介绍

基本逻辑门电路知识介绍 1.1 门电路的概念: 实现基本和常用逻辑运算的电子电路,叫逻辑门电路。实现与运算的叫与门,实现或运算的叫或门,实现非运算的叫非门,也叫做反相器,等等(用逻辑1表示高电平;用逻辑0表示低电平) 11.2 与门: 逻辑表达式F=A B 即只有当输入端A和B均为1时,输出端Y才为1,不然Y为0.与门的常用芯片型号有:74LS08,74LS09等. 11.3 或门:逻辑表达式F=A+ B 即当输入端A和B有一个为1时,输出端Y即为1,所以输入端A和B均为0时,Y才会为O.或门的常用芯片型号有:74LS32等. 11.4.非门逻辑表达式F=A

即输出端总是与输入端相反.非门的常用芯片型号有:74LS04,74LS05,74LS06,74LS14等. 11.5.与非门 逻辑表达式 F=AB 即只有当所有输入端A和B均为1时,输出端Y才为0,不然Y为 1.与非门的常用芯片型号有:74LS00,74LS03,74S31,74LS132等. 11.6.或非门:逻辑表达式 F=A+B 即只要输入端A和B中有一个为1时,输出端Y即为0.所以输入端A和B均为0时,Y才会为1.或非门常见的芯片型号有:74LS02等. 11.7.同或门: 逻辑表达式F=A B+A B 11.8.异或门:逻辑表达式F=A B+A B

11.9.与或非门:逻辑表逻辑表达式F=AB+CD A D 11.10.RS触发器: 电路结构 把两个与非门G1、G2的输入、输出端交叉连接,即可构成基本RS触发器,其逻辑电路如图7.2.1.(a)所示。它有两个输入端R、S和两个输出端Q、Q。 工作原理 : 基本RS触发器的逻辑方程为: 根据上述两个式子得到它的四种输入与输出的关系: 1.当R=1、S=0时,则Q=0,Q=1,触发器置1。 2.当R=0、S=1时,则Q=1,Q=0,触发器置0。 如上所述,当触发器的两个输入端加入不同逻辑电平时,它的两个输出端Q和Q有两种互补的稳定状态。一般规定触发器Q端的状态作为触发器的状态。通常称触发器处于某种状态,实际是指它的

实验三、基本门电路及触发器电子版实验报告

实验三:基本门电路及触发器 专业班级: 姓 学号: 一、 实验目的 1.了解TTL 门电路的原理、性能和使用方法,验证基本门电路逻辑功能, 2. 掌握门电路的设计方法。 3.验证J-K 触发器的逻辑功能。 4.掌握触发器转换的设计方法。 二、实验内容 (一)验证以下门电路的逻辑关系 1. 用与非门(00)实现与门逻辑关系:F=AB 2. 异或门(86): (二):门电路的设计(二选一) 1.用74LS00和74LS86 设计半加器. 2.用TTL 与非门设计一个三人表决电路。 A B C 三个裁判,当表决某个提案时,多数人同意提案为通过。 (1为同意,0为不同意) 要求:用74LS00和 74LS10芯片。 (三)验证JK 触发器的逻辑关系 1.J-K 触发器置位端、复位端及功能测试。 图3-1 JK 触发器(74LS112)和D 触发器(74LS74) 2、设计J-K 触发器转化成D 触发器的电路 利用与非门和J-K 触发器设计并测试逻辑功能。 三、实验原理图 B A B A B A F ⊕=+=n n n n n n n B A B A B A S ⊕=+='n n n B A C ='

图3-2与门电路 图3-3异或门电路 图3-4半加器 四、实验结果及数据处理 1. 直接在实验原理图上标记芯片的引脚。 2. 写出实验结果。 (1)与门、异或门实验结果表(用数字万用表测量高低电平1、0的电压值。) 输入 与门 异或门 A B F U o (V ) F 0 0 0 0 1 0 1 0 0 0 1 0 0 0 0 1 1 1 3 1 (2)半加器实验结果 (3) 表决电路结果 A n B n n S ' n C ' 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 =1 A B F

基本逻辑门电路

第一节基本逻辑门电路 1、1门电路得概念: 实现基本与常用逻辑运算得电子电路,叫逻辑门电路。实现与运算得叫与门,实现或运算得叫或门,实现非运算得叫非门,也叫做反相器,等等(用逻辑1表示高电平;用逻辑0表示低电平) 11、2与门: 逻辑表达式F=A B 即只有当输入端A与B均为1时,输出端Y才为1,不然Y为0、与门得常用芯片型号有:74LS08,74LS09等、 11、3 或门: 逻辑表达式F=A+ B 即当输入端A与B有一个为1时,输出端Y即为1,所以输入端A与B均为0时,Y才会为O、或门得常用芯片型号有:74LS32等、 11、4.非门逻辑表达式F=A 即输出端总就是与输入端相反、非门得常用芯片型号有:74LS04,74LS05,74LS06,74LS14等、 11、5.与非门逻辑表达式 F=AB 即只有当所有输入端A与B均为1时,输出端Y才为0,不然Y为1、与非门得常用芯片型号有:74LS00,74LS03,74S31,74LS132等、 11、6。或非门: 逻辑表达式F=A+B

即只要输入端A与B中有一个为1时,输出端Y即为0、所以输入端A与B均为0时,Y才会为1、或非门常见得芯片型号有:74LS02等、 11、7。同或门: 逻辑表达式F=A B+A B A F B 11、8、异或门:逻辑表达式F=A B+A B A F B 、9、与或非门:逻辑表逻辑表达式F=AB+CD A B C F 1、10、RS触发器: 电路结构 把两个与非门G1、G2得输入、输出端交叉连接,即可构成基本RS触发器,其逻辑电路如图7.2.1、(a)所示.它有两个输入端R、S与两个输出端Q、Q. 工作原理 : 基本RS触发器得逻辑方程为: =1 =1 & ≥1

基于单片机的光电计数器课程设计

计控学院 College of computer and control engineering Qiqihar university 电气工程课程设计报告题目:基于单片机的光电计数器 系别电气工程系 专业班级电气123班 学生姓名宋恺 学号 73 指导教师李艳东 提交日期 2015年6月 24日 成绩

摘要 光电计数器是利用光电元件制成的自动计数装置。其工作原理是从光源发出的一束平行光照射在光电元件(如光电管、光敏电阻等)上,每当这束光被遮挡一次时,光电元件的工作状态就改变一次,通过放大器可使计数器记下被遮挡的次数。光电计数器的应用范围非常广泛,常用于记录成品数量,例如绕线机线圈匝数的检测、点钞机纸币张数的检测、复印机纸张数量的检测,或展览会参观者人数。 光电计数器与机械计数器相比,具有可靠性高、体积小、技术频率高、能和计算机链接实现自动控制等优点。本文即介绍基于MCS-51单片机的光电技术器。 关键词:单片机;光电计数器;数码显示;自动报警 目录 1 设计目的及意义.................................................... 错误!未指定书签。 2 设计内容.......................................................... 错误!未指定书签。 系统整体设计......................................... 错误!未指定书签。 实验方案.................................................. 错误!未指定书签。 光电计数器结构框图........................................ 错误!未指定书签。 图1 光电计数器结构框图............................... 错误!未指定书签。 系统硬件设计.......................................... 错误!未指定书签。 稳压直流电源电路........................................... 错误!未指定书签。 发射接收电路............................................... 错误!未指定书签。 显示电路................................................... 错误!未指定书签。 报警电路................................................... 错误!未指定书签。 硬件系统................................................... 错误!未指定书签。 系统软件设计.......................................... 错误!未指定书签。 3 结论错误!未指定书签。 4 参考文献.......................................................... 错误!未定义书签。

绕线机计数器电路设计

绕线机计数器电路设计 摘要 绕线机:顾名思义绕线机是把线状的物体缠绕到特定的工件上的机器。 凡是电器产品大多需用漆包铜线(简称漆包线)绕制成电感线圈,就需要用到绕线机。 例如:各种电动机,日光灯镇流器,各种大小变压器,电视机。收音机用的中周、电感线圈,行输出变压器(高压包),电子点火器、灭蚊器上的高压线圈,喇叭,耳机,麦克风的音圈,各种电焊机等不能一一举例,这些里面的线圈都需要用绕线机来绕。纺织行业多用棉纱线,人造纤维线等绕制各种适合纺织机用的纱团、绽这些都需要用一款绕线机来绕。 绕线机绕的转数一般比较多,圈数的要求在精密器件中要求十分严格,单一的靠人工计圈数是很麻烦也是不可能完成的。绕线机需要一个能自动计数的装置------绕线机计数器。 本课题是利用DN834霍尔传感器、CD40110 十进制可逆计数器/锁存器/译码器/驱动器以及共阴极数码显示器设计一个可以加减的绕线机计数器。首先用霍尔传感器收集绕线机转轴运转数据(每转一圈产生一个负脉冲)然后经过非门放大反相后便形成了计数正脉冲,然后送入CD40110,CD40110工作计数开始,并在数码管上显示计数结果。本文将讲述DN834霍尔传感器、CD40110十进制可逆计数器/锁存器/译码器/驱动器、共阴极数码显示器以及CD4069反相器的基本原理和特点,并对绕线机计数器的设计原理及功能做了详细介绍。然后又采用数电课本上提到到集成电路74192、4511来代替CD40110进行绕线机计数器的设计,复习和熟练自己在数字电路课程所学到的集成电路及其应用。 关键词:绕线机, 霍尔DN834, CD40110, CD4069, 数码显示器74192

基本逻辑门电路

第一节基本逻辑门电路 门电路的概念: 实现基本和常用逻辑运算的电子电路,叫逻辑门电路。实现与运算的叫与门,实现或运算的叫或门,实现非运算的叫非门,也叫做反相器,等等(用逻辑1表示高电平;用逻辑0表示低电平) 与门: 逻辑表达式F=A B 即只有当输入端A和B均为1时,输出端Y才为1,不然Y为0.与门的常用芯片型号有:74LS08,74LS09等. 或门:逻辑表达式F=A+ B 即当输入端A和B有一个为1时,输出端Y即为1,所以输入端A和B均为0时,Y才会为O.或门的常用芯片型号有:74LS32等. .非门逻辑表达式F=A 即输出端总是与输入端相反.非门的常用芯片型号有:74LS04,74LS05,74LS06,74LS14等. .与非门逻辑表达式 F=AB 即只有当所有输入端A和B均为1时,输出端Y才为0,不然Y为1.与非门的常用芯片型号有:74LS00,74LS03,74S31,74LS132等. .或非门:逻辑表达式 F=A+B 即只要输入端A和B中有一个为1时,输出端Y即为0.所以输入端A和B均为0时,Y才会为1.或非门常见的芯片型号有:74LS02等. .同或门: 逻辑表达式F=A B+A B .异或门:逻辑表达式F=A B+A B

A .与或非门:逻辑表逻辑表达式F=AB+CD A D 触发器: 电路结构 把两个与非门G1、G2的输入、输出端交叉连接,即可构成基本RS触发器,其逻辑电路如图7.2.1.(a)所示。它有两个输入端R、S和两个输出端Q、Q。 工作原理 : 基本RS触发器的逻辑方程为: 根据上述两个式子得到它的四种输入与输出的关系: 1.当R=1、S=0时,则Q=0,Q=1,触发器置1。 2.当R=0、S=1时,则Q=1,Q=0,触发器置0。 如上所述,当触发器的两个输入端加入不同逻辑电平时,它的两个输出端Q和Q有两种互补的稳定状态。一般规定触发器Q端的状态作为触发器的状态。通常称触发器处于某种状态,实际是指它的Q端的状态。Q=1、Q=0时,称触发器处于1态,反之触发器处于0态。S=0,R=1使触发器置1,或称置位。因置位的决定条件是S=0,故称S 端为置1端。R=0,S=1时,使触发器置0,或称复位。 同理,称R端为置0端或复位端。若触发器原来为1态,欲使之变为0态,必须令R端的电平由1变0,S端的电平由0变1。这里所加的输入信号(低电平)称为触发信号,由它们导致的转换过程称为翻转。由于这里的触发信号是电平,因此这种触发器称为电平控制触发器。从功能方面看,它只能在S和R的作用下置0和置1,所以又称为置0置1触发器,或称为置位复位触发器。其逻辑符号如图7.2.1(b)所示。由于置0或置1都是触发信号低电平有效,因此,S 端和R端都画有小圆圈。

相关主题
文本预览
相关文档 最新文档