当前位置:文档之家› 半导体工艺讲解

半导体工艺讲解

半导体工艺讲解
半导体工艺讲解

半导体工艺讲解(1)--掩模和光刻(上)

概述

光刻工艺是半导体制造中最为重要的工艺步骤之一。主要作用是将掩膜板上的图形复制到硅片上,为下一步进行刻蚀或者离子注入工序做好准备。光刻的成本约为整个硅片制造工艺的1/3,耗费时间约占整个硅片工艺的40~60%。

光刻机是生产线上最贵的机台,5~15百万美元/台。主要是贵在成像系统(由15~20个直径为200~300mm的透镜组成)和定位系统(定位精度小于

10nm)。其折旧速度非常快,大约3~9万人民币/天,所以也称之为印钞机。光刻部分的主要机台包括两部分:轨道机(Tracker),用于涂胶显影;扫描曝光机(Scanning )

?光刻工艺的要求:光刻工具具有高的分辨率;光刻胶具有高的光学敏感性;准确地对准;大尺寸硅片的制造;低的缺陷密度。

?

光刻工艺过程

一般的光刻工艺要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝光、后烘、显影、硬烘、刻蚀、检测等工序。

?1、硅片清洗烘干(Cleaning and Pre-Baking)

方法:湿法清洗+去离子水冲洗+脱水烘焙(热板150~2500C,1~2分钟,氮气保护)

目的:a、除去表面的污染物(颗粒、有机物、工艺残余、可动离子);b、除去水蒸气,是基底表面由亲水性变为憎水性,增强表面的黏附性(对光刻胶或者是HMDS-〉六甲基二硅胺烷)。

2、涂底(Priming)

方法:a、气相成底膜的热板涂底。HMDS蒸气淀积,200~2500C,30秒钟;优点:涂底均匀、避免颗粒污染;? ?b、旋转涂底。缺点:颗粒污染、涂底不均匀、HMDS用量大。

目的:使表面具有疏水性,增强基底表面与光刻胶的黏附性。

3、旋转涂胶(Spin-on PR Coating)

方法:a、静态涂胶(Static)。硅片静止时,滴胶、加速旋转、甩胶、挥发溶剂(原光刻胶的溶剂约占65~85%,旋涂后约占10~20%);

?????????b、动态(Dynamic)。低速旋转(500rpm_rotation per minute)、滴胶、加速旋转(3000rpm)、甩胶、挥发溶剂。

决定光刻胶涂胶厚度的关键参数:光刻胶的黏度(Viscosity),黏度越低,光刻胶的厚度越薄;旋转速度,速度越快,厚度越薄;

影响光刻胶厚度均运性的参数:旋转加速度,加速越快越均匀;与旋转加速的时间点有关。

一般旋涂光刻胶的厚度与曝光的光源波长有关(因为不同级别的曝光波长对应不同的光刻胶种类和分辨率):

I-line最厚,约~3μm;KrF的厚度约~μm;ArF的厚度约~μm。

4、软烘(Soft Baking)

方法:真空热板,85~120℃,30~60秒;

目的:除去溶剂(4~7%);增强黏附性;释放光刻胶膜内的应力;防止光刻胶玷污设备;

边缘光刻胶的去除(EBR,Edge Bead Removal)。光刻胶涂覆后,在硅片边缘的正反两面都会有光刻胶的堆积。边缘的光刻胶一般涂布不均匀,不能得到很好的图形,而且容易发生剥离(Peeling)而影响其它部分的图形。所以需要去除。

方法:a、化学的方法(Chemical EBR)。软烘后,用PGMEA或EGMEA去边溶剂,喷出少量在正反面边缘出,并小心控制不要到达光刻胶有效区域;b、光学方法(Optical EBR)。即硅片边缘曝光(WEE,Wafer Edge Exposure)。在完成图形的曝光后,用激光曝光硅片边缘,然后在显影或特殊溶剂中溶解

5、对准并曝光(Alignment and Exposure)

对准方法:a、预对准,通过硅片上的notch或者flat进行激光自动对准;

b、通过对准标志(Align Mark),位于切割槽(Scribe Line)上。另外层间对准,即套刻精度(Overlay),保证图形与硅片上已经存在的图形之间的对准。

? ? 曝光中最重要的两个参数是:曝光能量(Energy)和焦距(Focus)。如果能量和焦距调整不好,就不能得到要求的分辨率和大小的图形。表现为图形的关键尺寸超出要求的范围。

?? 曝光方法:a、接触式曝光(Contact Printing)。掩膜板直接与光刻胶层接触。曝光出来的图形与掩膜板上的图形分辨率相当,设备简单。缺点:光刻胶污染掩膜板;掩膜板的磨损,寿命很低(只能使用5~25次);1970前使用,

分辨率〉μm。

b、接近式曝光(Proximity Printing)。掩膜板与光刻胶层的略微分开,大约为10~50μm。可以避免与光刻胶直接接触而引起的掩膜板损伤。但是同时引入了衍射效应,降低了分辨率。1970后适用,但是其最大分辨率仅为2~4μm。

?c、投影式曝光(Projection Printing)。在掩膜板与光刻胶之间使用透镜聚集光实现曝光。一般掩膜板的尺寸会以需要转移图形的4倍制作。优点:提高了分辨率;掩膜板的制作更加容易;掩膜板上的缺陷影响减小。

?投影式曝光分类:

?扫描投影曝光(Scanning Project Printing)。70年代末~80年代初,〉1μm工艺;掩膜板1:1,全尺寸;

步进重复投影曝光(Stepping-repeating Project Printing或称作Stepper)。80年代末~90年代,μm(I line)~μm(DUV)。掩膜板缩小比例(4:1),曝光区域(Exposure Field)22×22mm(一次曝光所能覆盖的区域)。增加了棱镜系统的制作难度。

扫描步进投影曝光(Scanning-Stepping Project Printing)。90年代末~至今,用于≤μm工艺。采用6英寸的掩膜板按照4:1的比例曝光,曝光区域(Exposure Field)26×33mm。优点:增大了每次曝光的视场;提供硅片表面不平整的补偿;提高整个硅片的尺寸均匀性。但是,同时因为需要反向运动,增加了机械系统的精度要求。

在曝光过程中,需要对不同的参数和可能缺陷进行跟踪和控制,会用到检测控制芯片/控片(Monitor Chip)。根据不同的检测控制对象,可以分为以下几种:a、颗粒控片(Particle MC):用于芯片上微小颗粒的监控,使用前其颗粒数应小于10颗;b、卡盘颗粒控片(Chuck Particle MC):测试光刻机上的卡盘平坦度的专用芯片,其平坦度要求非常高;c、焦距控片(Focus MC):作为光刻机监控焦距监控;d、关键尺寸控片(Critical Dimension MC):用于光刻区关键尺寸稳定性的监控;e、光刻胶厚度控片(PhotoResist Thickness MC):光刻胶厚度测量;f、光刻缺陷控片(PDM,Photo Defect Monitor):光刻胶缺陷监控。

举例:μm的CMOS扫描步进光刻工艺。

光源:KrF氟化氪DUV光源(248nm)?;数值孔径NA:~;?焦深DOF:μm

分辨率Resolution:~μm(一般采用了偏轴照明OAI_Off- Axis Illumination和相移掩膜板技术PSM_Phase Shift Mask增强);套刻精度Overlay:65nm;产能Throughput:30~60wafers/hour(200mm);

?视场尺寸Field Size:25×32mm;

?6、后烘(PEB,Post Exposure Baking)

?方法:热板,110~1300C,1分钟。

?目的:a、减少驻波效应;b、激发化学增强光刻胶的PAG产生的酸与光刻胶上的保护基团发生反应并移除基团使之能溶解于显影液。

7、显影(Development)

方法:a、整盒硅片浸没式显影(Batch Development)。缺点:显影液消耗很大;显影的均匀性差;b、连续喷雾显影(Continuous Spray Development)/自动旋转显影(Auto-rotation Development)。一个或多个喷嘴喷洒显影液在硅片表面,同时硅片低速旋转(100~500rpm)。喷嘴喷雾模式和硅片旋转速度是实现硅片间溶解率和均匀性的可重复性的关键调节参数。c、水坑(旋覆浸没)式显影(Puddle Development)。喷覆足够(不能太多,最小化背面湿度)的显影液到硅片表面,并形成水坑形状(显影液的流动保持较低,以减少边缘显影速率的变化)。硅片固定或慢慢旋转。一般采用多次旋覆显影液:第一次涂覆、保持10~30秒、去除;第二次涂覆、保持、去除。然后用去离子水冲洗(去除硅片两面的所有化学品)并旋转甩干。优点:显影液用量少;硅片显影均匀;最小化了温度梯度。

显影液:a、正性光刻胶的显影液。正胶的显影液位碱性水溶液。KOH和NaOH 因为会带来可动离子污染(MIC,Movable Ion Contamination),所以在IC

制造中一般不用。最普通的正胶显影液是四甲基氢氧化铵(TMAH)(标准当量浓度为,温度 15~250C)。在I线光刻胶曝光中会生成羧酸,TMAH显影液中的碱与酸中和使曝光的光刻胶溶解于显影液,而未曝光的光刻胶没有影响;在化学放大光刻胶(CAR,Chemical Amplified Resist)中包含的酚醛树脂以PHS形式存在。CAR中的PAG产生的酸会去除PHS中的保护基团(t-BOC),从而使PHS 快速溶解于TMAH显影液中。整个显影过程中,TMAH没有同PHS发生反应。b、负性光刻胶的显影液。二甲苯。清洗液为乙酸丁脂或乙醇、三氯乙烯。

显影中的常见问题:a、显影不完全(Incomplete Development)。表面还残留有光刻胶。显影液不足造成;b、显影不够(Under Development)。显影的侧壁不垂直,由显影时间不足造成;c、过度显影(Over Development)。靠近表面的光刻胶被显影液过度溶解,形成台阶。显影时间太长。

8、硬烘(Hard Baking)

方法:热板,100~1300C(略高于玻璃化温度Tg),1~2分钟。

目的:a、完全蒸发掉光刻胶里面的溶剂(以免在污染后续的离子注入环境,例如DNQ酚醛树脂光刻胶中的氮会引起光刻胶局部爆裂);b、坚膜,以提高光刻胶在离子注入或刻蚀中保护下表面的能力;c、进一步增强光刻胶与硅片表面

之间的黏附性;d、进一步减少驻波效应(Standing Wave Effect)。

常见问题:a、烘烤不足(Underbake)。减弱光刻胶的强度(抗刻蚀能力和离子注入中的阻挡能力);降低针孔填充能力(Gapfill Capability for the needle hole);降低与基底的黏附能力。b、烘烤过度(Overbake)。引起光刻胶的流动,使图形精度降低,分辨率变差。

另外还可以用深紫外线(DUV,Deep Ultra-Violet)坚膜。使正性光刻胶树脂发生交联形成一层薄的表面硬壳,增加光刻胶的热稳定性。在后面的等离子刻蚀和离子注入(125~2000C)工艺中减少因光刻胶高温流动而引起分辨率的降低。

光学基础

光的反射(reflection)。光射到任何表面的时候都会发生反射,并且符合反射定律:入射角等于反射角。在曝光的时候,光刻胶往往会在硅片表面或者金属层发生反射,使不希望被曝光的光刻胶被曝光,从而造成图形复制的偏差。常常需要用抗反射涂层(ARC,Anti-Reflective Coating)来改善因反射造成的缺陷。

光的折射(refraction)。光通过一种透明介质进入到另一种透明介质的时候,发生方向的改变。主要是因为在两种介质中光的传播速度不同(λ=v/f)。直观来说是两种介质中光的入射角发生改变。所以我们在90nm工艺中利用高折射率的水为介质(空气的折射率为,而水的折射率为),采用浸入式光刻技术,从而提高了分辨率。而且这种技术有可能将被沿用至45nm工艺节点。

?光的衍射或者绕射(diffraction)。光在传播过程中遇到障碍物(小孔或者轮廓分明的边缘)时,会发生光传播路线的改变。曝光的时候,掩膜板上有尺寸很小的图形而且间距很窄。衍射会使光部分发散,导致光刻胶上不需要曝光的区域被曝光。衍射现象会造成分辨率的下降。

?光的干涉(interference)。波的本质是正弦曲线。任何形式的正弦波只要具有相同的频率就能相互干涉,即相长相消:相位相同,彼此相长;相位不同,彼此相消。在曝光的过程中,反射光与折射光往往会发生干涉,从而降低了图形特征复制的分辨率。

?调制传输函数(MTF, Modulation Transfer Function)。用于定义明暗对比度的参数。即分辨掩膜板上明暗图形的能力,与光线的衍射效应密切相关。MTF=(Imax- Imin)/(Imax+Imin),好的调制传输函数,就会得到更加陡直的光刻胶显影图形,即有高的分辨率。临界调制传输函数(CMTF,Critical Modulation Transfer Function)。主要表征光刻胶本身曝光对比度的参数。即光刻胶分辨透射光线明暗的能力。一般来说光路系统的调制传输函数必须大于光刻胶的临界调制传输函数,即MTF>CMTF。

?数值孔径(NA, Numerical Aperture)。透镜收集衍射光(聚光)的能力。NA=n*sinθ=n*(透镜半径/透镜焦长)。一般来说NA大小为~。提高数值孔径的

方法:1、提高介质折射率n,采用水代替空气;2、增大透镜的半径;

分辨率(Resolution)。区分临近最小尺寸图形的能力。

R=kλ/(NA)=(n*sinθ) 。提高分辨率的方法:1、减小光源的波长;2、采用高分辨率的光刻胶;3、增大透镜半径;4、采用高折射率的介质,即采用浸入式光刻技术;5、优化光学棱镜系统以提高k(~)值(k是标志工艺水平的参数)。

?焦深(DOF,Depth of Focus)。表示焦点周围的范围,在该范围内图像连续地保持清晰。焦深是焦点上面和下面的范围,焦深应该穿越整个光刻胶层的上下表面,这样才能够保证光刻胶完全曝光。DOF=kλ/(NA)2。增大焦深的方法:1、增大光源的波长;2、采用小的数值孔径;3、利用CMP进行表面平坦化。由于前两种方法会降低分辨率,而分辨率是芯片制造所努力提升的重要参数,因此我们需要在看上去相互矛盾的两个方面做出某种平衡。一般在保证基本的焦深要求下不降低分辨率,即以分辨率为主。所以,现在一般采用CMP平坦化技术保证足够的焦深。

掩膜板/光罩

掩膜板/光罩(Photo Mask/Reticle)硅片上的电路元件图形都来自于版图,因此掩膜板的质量在光刻工艺中的扮演着非常重要的角色。

1、掩膜板的分类:

光掩膜板(Photo Mask)包含了整个硅片的芯片图形特征,进行1:1图形复制。这种掩膜板用于比较老的接近式光刻和扫描对准投影机中。

?投影掩膜板(Reticle)只包含硅片上的一部分图形(例如四个芯片),一般为缩小比例(一般为4:1)。需要步进重复来完成整个硅片的图形复制。一般掩膜板为6X6inch(152mm)大小,厚度约为”~” (~)。投影掩膜板的优点:1、投影掩膜板的特征尺寸较大(4×),掩膜板制造更加容易;2、掩膜板上的缺陷会缩小转移到硅片上,对图形复制的危害减小;3、使曝光的均匀度提高。

2、掩膜板的制造:

?掩膜板的基材一般为熔融石英(quartz),这种材料对深紫外光(DUV,KrF-248nm,ArF-193nm)具有高的光学透射,而且具有非常低的温度膨胀和低的内部缺陷。

掩膜板的掩蔽层一般为铬(Cr,Chromium)。在基材上面溅射一层铬,铬层的厚度一般为800~1000埃,在铬层上面需要涂布一层抗反射涂层(ARC,

Anti-Reflective Coating)。

制作过程:a、在石英表面溅射一层铬层,在铬层上旋涂一层电子束光刻胶;

b、利用电子束(或激光)直写技术将图形转移到电子束光刻胶层上。电子源产生许多电子,这些电子被加速并聚焦(通过磁方式或者电方式被聚焦)成形投影

半导体全制程介绍

《晶圆处理制程介绍》 基本晶圆处理步骤通常是晶圆先经过适当的清洗(Cleaning)之后,送到热炉管 (Furnace)内,在含氧的环境中,以加热氧化(Oxidation)的方式在晶圆的表面 形成一层厚约数百个的二氧化硅层,紧接着厚约1000到2000的氮化硅层 将以化学气相沈积Chemical Vapor Deposition;CVP)的方式沈积(Deposition)在刚刚长成的二氧化硅上,然后整个晶圆将进行微影(Lithography)的制程,先在 晶圆上上一层光阻(Photoresist),再将光罩上的图案移转到光阻上面。接着利用蚀刻(Etching)技术,将部份未被光阻保护的氮化硅层加以除去,留下的就是所需要的线路图部份。接着以磷为离子源(Ion Source),对整片晶圆进行磷原子的植入(Ion Implantation),然后再把光阻剂去除(Photoresist Scrip)。制程进行至此,我们已将构成集成电路所需的晶体管及部份的字符线(Word Lines),依光罩所提供的设计图案,依次的在晶圆上建立完成,接着进行金属化制程(Metallization),制作金属导线,以便将各个晶体管与组件加以连接,而在每一道步骤加工完后都必须进行一些电性、或是物理特性量测,以检验加工结果是否在规格内(Inspection and Measurement);如此重复步骤制作第一层、第二层...的电路部份,以在硅晶圆上制造晶体管等其它电子组件;最后所加工完成的产品会被送到电性测试区作电性量测。 根据上述制程之需要,FAB厂内通常可分为四大区: 1)黄光本区的作用在于利用照相显微缩小的技术,定义出每一层次所需要的电路图,因为采用感光剂易曝光,得在黄色灯光照明区域内工作,所以叫做「黄光区」。 2)蚀刻经过黄光定义出我们所需要的电路图,把不要的部份去除掉,此去除的步骤就> 称之为蚀刻,因为它好像雕刻,一刀一刀的削去不必要不必要的木屑,完成作品,期间又利用酸液来腐蚀的,所 以叫做「蚀刻区」。 3)扩散本区的制造过程都在高温中进行,又称为「高温区」,利用高温给予物质能量而产生运动,因为本区的机台大都为一根根的炉管,所以也有人称为「炉管区」,每一根炉管都有不同的作用。 4)真空

半导体工艺及芯片制造技术问题答案(全)

常用术语翻译 active region 有源区 2.active ponent有源器件 3.Anneal退火 4.atmospheric pressure CVD (APCVD) 常压化学气相淀积 5.BEOL(生产线)后端工序 6.BiCMOS双极CMOS 7.bonding wire 焊线,引线 8.BPSG 硼磷硅玻璃 9.channel length沟道长度 10.chemical vapor deposition (CVD) 化学气相淀积 11.chemical mechanical planarization (CMP)化学机械平坦化 12.damascene 大马士革工艺 13.deposition淀积 14.diffusion 扩散 15.dopant concentration掺杂浓度 16.dry oxidation 干法氧化 17.epitaxial layer 外延层 18.etch rate 刻蚀速率 19.fabrication制造 20.gate oxide 栅氧化硅 21.IC reliability 集成电路可靠性 22.interlayer dielectric 层间介质(ILD) 23.ion implanter 离子注入机 24.magnetron sputtering 磁控溅射 25.metalorganic CVD(MOCVD)金属有机化学气相淀积 26.pc board 印刷电路板 27.plasma enhanced CVD(PECVD) 等离子体增强CVD 28.polish 抛光 29.RF sputtering 射频溅射 30.silicon on insulator绝缘体上硅(SOI)

半导体工艺流程

1清洗 集成电路芯片生产的清洗包括硅片的清洗和工器具的清洗。由 于半导体生产污染要求非常严格,清洗工艺需要消耗大量的高纯水; 且为进行特殊过滤和纯化广泛使用化学试剂和有机溶剂。 在硅片的加工工艺中,硅片先按各自的要求放入各种药液槽进行表面化学处理,再送入清洗槽,将其表面粘附的药液清洗干净后进入下一道工序。常用的清洗方式是将硅片沉浸在液体槽内或使用液体喷雾清洗,同时为有更好的清洗效果,通常使用超声波激励和擦片措施,一般在有机溶剂清洗后立即米用无机酸将其氧化去除,最后用超纯水进行清洗,如图1-6所示。 图1-6硅片清洗工艺示意图 工具的清洗基本米用硅片清洗同样的方法。 2、热氧化 热氧化是在800~1250C高温的氧气氛围和惰性携带气体(N2)下使硅片表面的硅氧化生成二氧化硅膜的过程,产生的二氧化硅用以作为扩散、离子注入的阻挡层,或介质隔离层。典型的热氧化化学反应为: Si + O2 T SiO2

3、扩散 扩散是在硅表面掺入纯杂质原子的过程。通常是使用乙硼烷(B2H6)作为N —源和磷烷(PH3)作为P+源。工艺生产过程中通常 分为沉积源和驱赶两步,典型的化学反应为: 2PH3 —2P+3H2 4、离子注入 离子注入也是一种给硅片掺杂的过程。它的基本原理是把掺杂物质(原子)离子化后,在数千到数百万伏特电压的电场下得到加速,以较高的能量注入到硅片表面或其它薄膜中。经高温退火后,注入离子活化,起施主或受主的作用。 5、光刻 光刻包括涂胶、曝光、显影等过程。涂胶是通过硅片高速旋转在硅片表面均匀涂上光刻胶的过程;曝光是使用光刻机,并透过光掩膜版对涂胶的硅片进行光照,使部分光刻胶得到光照,另外,部分光刻胶得不到光照,从而改变光刻胶性质;显影是对曝光后的光刻胶进行去除,由于光照后的光刻胶 和未被光照的光刻胶将分别溶于显影液和不溶于显影液,这样就使光刻胶上 形成了沟槽。 6、湿法腐蚀和等离子刻蚀 通过光刻显影后,光刻胶下面的材料要被选择性地去除,使用的方法就

半导体工艺讲解

半导体工艺讲解(1)--掩模和光刻(上) 概述 光刻工艺是半导体制造中最为重要的工艺步骤之一。主要作用是将掩膜板上的图形复制到硅片上,为下一步进行刻蚀或者离子注入工序做好准备。光刻的成本约为整个硅片制造工艺的1/3,耗费时间约占整个硅片工艺的40~60%。 光刻机是生产线上最贵的机台,5~15百万美元/台。主要是贵在成像系统(由15~20个直径为200~300mm的透镜组成)和定位系统(定位精度小于 10nm)。其折旧速度非常快,大约3~9万人民币/天,所以也称之为印钞机。光刻部分的主要机台包括两部分:轨道机(Tracker),用于涂胶显影;扫描曝光机(Scanning ) ?光刻工艺的要求:光刻工具具有高的分辨率;光刻胶具有高的光学敏感性;准确地对准;大尺寸硅片的制造;低的缺陷密度。 ? 光刻工艺过程 一般的光刻工艺要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝光、后烘、显影、硬烘、刻蚀、检测等工序。 ?1、硅片清洗烘干(Cleaning and Pre-Baking) 方法:湿法清洗+去离子水冲洗+脱水烘焙(热板150~2500C,1~2分钟,氮气保护) 目的:a、除去表面的污染物(颗粒、有机物、工艺残余、可动离子);b、除去水蒸气,是基底表面由亲水性变为憎水性,增强表面的黏附性(对光刻胶或者是HMDS-〉六甲基二硅胺烷)。 2、涂底(Priming) 方法:a、气相成底膜的热板涂底。HMDS蒸气淀积,200~2500C,30秒钟;优点:涂底均匀、避免颗粒污染;? ?b、旋转涂底。缺点:颗粒污染、涂底不均匀、HMDS用量大。 目的:使表面具有疏水性,增强基底表面与光刻胶的黏附性。 3、旋转涂胶(Spin-on PR Coating) 方法:a、静态涂胶(Static)。硅片静止时,滴胶、加速旋转、甩胶、挥发溶剂(原光刻胶的溶剂约占65~85%,旋涂后约占10~20%);

半导体封装制程简介

(Die Saw) 晶片切割之目的乃是要將前製程加工完成的晶圓上一顆顆之芯片(Die)切割分離。首先要在晶圓背面貼上蓝膜(blue tape)並置於鋼 製的圆环上,此一動作叫晶圓粘片(wafer mount),如圖一,而後再 送至晶片切割機上進行切割。切割完後,一顆顆之芯片井然有序的排 列在膠帶上,如圖二、三,同時由於框架之支撐可避免蓝膜皺摺而使 芯片互相碰撞,而圆环撐住膠帶以便於搬運。 圖一 圖二

(Die Bond) 粘晶(装片)的目的乃是將一顆顆分離的芯片放置在导线框架(lead frame)上並用銀浆(epoxy )粘着固定。引线框架是提供芯片一個粘着的位置+ (芯片座die pad),並預設有可延伸IC芯片電路的延伸腳(分為內 引腳及外引腳inner lead/outer lead)一個引线框架上依不同的設計可以有 數個芯片座,這數個芯片座通常排成一列,亦有成矩陣式的多列排法 。引线框架經傳輸至定位後,首先要在芯片座預定粘着芯片的位置上点

上銀浆(此一動作稱為点浆),然後移至下一位置將芯片置放其上。 而經過切割的晶圓上的芯片則由焊臂一顆一顆地置放在已点浆的晶 粒座上。装片完後的引线框架再由传输设备送至料盒(magazine) 。装片后的成品如圖所示。 引线框架装片成品 胶的烧结 烧结的目的是让芯片与引线框晶粒座很好的结合固定,胶可分为银浆(导电胶)和绝缘胶两种,根据不同芯片的性能要求使用不同的胶,通常导电胶在200度烤箱烘烤两小时;绝缘胶在150度烤箱烘烤两个半小时。 (Wire Bond) 焊线的目的是將芯片上的焊点以极细的金或铜线(18~50um)連接到引线框架上的內引腳,藉而將IC芯片的電路訊號傳輸到外界。當

芯片制造-半导体工艺教程

芯片制造-半导体工艺教程 Microchip Fabrication ----A Practical Guide to Semicondutor Processing 目录: 第一章:半导体工业[1][2][3] 第二章:半导体材料和工艺化学品[1][2][3][4][5]第三章:晶圆制备[1][2][3] 第四章:芯片制造概述[1][2][3] 第五章:污染控制[1][2][3][4][5][6] 第六章:工艺良品率[1][2] 第七章:氧化 第八章:基本光刻工艺流程-从表面准备到曝光 第九章:基本光刻工艺流程-从曝光到最终检验 第十章:高级光刻工艺 第十一章:掺杂 第十二章:淀积 第十三章:金属淀积 第十四章:工艺和器件评估 第十五章:晶圆加工中的商务因素 第十六章:半导体器件和集成电路的形成 第十七章:集成电路的类型 第十八章:封装 附录:术语表

#1 第一章半导体工业--1 芯片制造-半导体工艺教程点击查看章节目录 by r53858 概述 本章通过历史简介,在世界经济中的重要性以及纵览重大技术的发展和其成为世界领导工业的发展趋势来介绍半导体工业。并将按照产品类型介绍主要生产阶段和解释晶体管结构与集成度水平。 目的 完成本章后您将能够: 1. 描述分立器件和集成电路的区别。 2. 说明术语“固态,” “平面工艺”,““N””型和“P”型半导体材料。 3. 列举出四个主要半导体工艺步骤。 4. 解释集成度和不同集成水平电路的工艺的含义。 5. 列举出半导体制造的主要工艺和器件发展趋势。 一个工业的诞生 电信号处理工业始于由Lee Deforest 在1906年发现的真空三极管。1真空三极管使得收音机, 电视和其它消费电子产品成为可能。它也是世界上第一台电子计算机的大脑,这台被称为电子数字集成器和计算器(ENIAC)的计算机于1947年在宾西法尼亚的摩尔工程学院进行首次演示。 这台电子计算机和现代的计算机大相径庭。它占据约1500平方英尺,重30吨,工作时产生大量的热,并需要一个小型发电站来供电,花费了1940年时的400, 000美元。ENIAC的制造用了19000个真空管和数千个电阻及电容器。 真空管有三个元件,由一个栅极和两个被其栅极分开的电极在玻璃密封的空间中构成(图1.2)。密封空间内部为真空,以防止元件烧毁并易于电子的====移动。 真空管有两个重要的电子功能,开关和放大。开关是指电子器件可接通和切断电流;放大则较为复杂,它是指电子器件可把接收到的信号放大,并保持信号原有特征的功能。 真空管有一系列的缺点。体积大,连接处易于变松导致真空泄漏、易碎、要求相对较多的电能来运行,并且元件老化很快。ENIAC 和其它基于真空管的计算机的主要缺点是由于真空管的烧毁而导致运行时间有限。 这些问题成为许多实验室寻找真空管替代品的动力,这个努力在1947年12月23曰得以实现。贝尔实验室的三位科学家演示了由半导体材料锗制成的电子放大器。

半导体的生产工艺流程

半导体的生产工艺流程 微机电制作技术,尤其是最大宗以硅半导体为基础的微细加工技术 (silicon-basedmicromachining),原本就肇源于半导体组件的制程技术,所以必须先介绍清楚这类制程,以免沦于夏虫语冰的窘态。 一、洁净室 一般的机械加工是不需要洁净室(cleanroom)的,因为加工分辨率在数十微米以上,远比日常环境的微尘颗粒为大。但进入半导体组件或微细加工的世界,空间单位都是以微米计算,因此微尘颗粒沾附在制作半导体组件的晶圆上,便有可能影响到其上精密导线布局的样式,造成电性短路或断路的严重后果。为此,所有半导体制程设备,都必须安置在隔绝粉尘进入的密闭空间中,这就是洁净室的来由。洁净室的洁净等级,有一公认的标准,以class10为例,意谓在单位立方英呎的洁净室空间内,平均只有粒径0.5微米以上的粉尘10粒。所以class后头数字越小,洁净度越佳,当然其造价也越昂贵。为营造洁净室的环境,有专业的建造厂家,及其相关的技术与使用管理办法如下: 1、内部要保持大于一大气压的环境,以确保粉尘只出不进。所以需要大型 鼓风机,将经滤网的空气源源不绝地打入洁净室中。 2、为保持温度与湿度的恒定,大型空调设备须搭配于前述之鼓风加压系统 中。换言之,鼓风机加压多久,冷气空调也开多久。 3、所有气流方向均由上往下为主,尽量减少突兀之室内空间设计或机台摆 放调配,使粉尘在洁净室内回旋停滞的机会与时间减至最低程度。 4、所有建材均以不易产生静电吸附的材质为主。 5、所有人事物进出,都必须经过空气吹浴(airshower)的程序,将表面粉尘 先行去除。 6、人体及衣物的毛屑是一项主要粉尘来源,为此务必严格要求进出使用人 员穿戴无尘衣,除了眼睛部位外,均需与外界隔绝接触(在次微米制程技术的工厂内,工作人员几乎穿戴得像航天员一样。)当然,化妆是在禁绝之内,铅笔等也禁止使用。 7、除了空气外,水的使用也只能限用去离子水(DIwater,de-ionizedwater)。 一则防止水中粉粒污染晶圆,二则防止水中重金属离子,如钾、钠离子污染金氧半(MOS)晶体管结构之带电载子信道(carrierchannel),影响半导体组件的工作特性。去离子水以电阻率(resistivity)来定义好坏,一般要求至 17.5MΩ-cm以上才算合格;为此需动用多重离子交换树脂、RO逆渗透、与 UV紫外线杀菌等重重关卡,才能放行使用。由于去离子水是最佳的溶剂与清洁剂,其在半导体工业之使用量极为惊人! 8、洁净室所有用得到的气源,包括吹干晶圆及机台空压所需要的,都得使 用氮气(98%),吹干晶圆的氮气甚至要求99.8%以上的高纯氮!以上八点说明是最基本的要求,另还有污水处理、废气排放的环保问题,再再需要大笔

半导体工艺流程

1、清洗 集成电路芯片生产的清洗包括硅片的清洗和工器具的清洗。由于半导体生产污染要求非常严格,清洗工艺需要消耗大量的高纯水;且为进行特殊过滤和纯化广泛使用化学试剂和有机溶剂。 在硅片的加工工艺中,硅片先按各自的要求放入各种药液槽进行表面化学处理,再送入清洗槽,将其表面粘附的药液清洗干净后进入下一道工序。常用的清洗方式是将硅片沉浸在液体槽内或使用液体喷雾清洗,同时为有更好的清洗效果,通常使用超声波激励和擦片措施,一般在有机溶剂清洗后立即采用无机酸将其氧化去除,最后用超纯水进行清洗,如图1 —6所示。 图1—6硅片清洗工艺示意图 工具的清洗基本米用硅片清洗同样的方法。 2、热氧化 热氧化是在800~1250C高温的氧气氛围和惰性携带气体(N2)下使硅片表面的硅氧化生成二氧化硅膜的过程,产生的二氧化硅用以作 为扩散、离子注入的阻挡层,或介质隔离层。典型的热氧化化学反应为:

Si + O2f SiO2 3、扩散 扩散是在硅表面掺入纯杂质原子的过程。通常是使用乙硼烷(B2H6)作为N —源和磷烷(PH3)作为P+源。工艺生产过程中通常 分为沉积源和驱赶两步,典型的化学反应为: 2PH3 f 2P + 3H2 4、离子注入 离子注入也是一种给硅片掺杂的过程。它的基本原理是把掺杂物质(原子)离子化后,在数千到数百万伏特电压的电场下得到加速,以较高的能量注入到硅片表面或其它薄膜中。经高温退火后,注入离子活化,起施主或受主的作用。 5、光刻 光刻包括涂胶、曝光、显影等过程。涂胶是通过硅片高速旋转在硅片表面均匀涂上光刻胶的过程;曝光是使用光刻机,并透过光掩膜版对涂胶的硅片进行光照,使部分光刻胶得到光照,另外,部分光刻胶得不到光照,从而改变光刻胶性质;显影是对曝光后的光刻胶进行去除,由于光照后的光刻胶和未被光照的光刻胶将分别溶于显影液和不溶于显影液,这样就使光刻胶上 形成了沟槽。 光刻胶 基片------------ ?涂胶后基片 1 1 1 1 ~ 显影后基片V------------- 曝光后基片 6、湿法腐蚀和等离子刻蚀

半导体制造基本概念

半导体制造基本概念 晶圆(Wafer) 晶圆(Wafer)的生产由砂即(二氧化硅)开始,经由电弧炉的提炼还原成冶炼级的硅,再经由盐酸氯化,产生三氯化硅,经蒸馏纯化后,透过慢速分解过程,制成棒状或粒状的「多晶硅」。一般晶圆制造厂,将多晶硅融解后,再利用硅晶种慢慢拉出单晶硅晶棒。一支85公分长,重76.6公斤的8?? 硅晶棒,约需2天半时间长成。经研磨、??光、切片后,即成半导体之原料晶圆片。 光学显影 光学显影是在光阻上经过曝光和显影的程序,把光罩上的图形转换到光阻 下面的薄膜层或硅晶上。光学显影主要包含了光阻涂布、烘烤、光罩对准、曝光和显影等程序。小尺寸之显像分辨率,更在IC 制程的进步上,扮演着最关键的角色。由于光学上的需要,此段制程之照明采用偏黄色的可见光。因此俗称此区为黄光区。 干式蚀刻技术 在半导体的制程中,蚀刻被用来将某种材质自晶圆表面上移除。干式蚀刻(又称为电浆蚀刻)是目前最常用的蚀刻方式,其以气体作为主要的蚀刻媒介,并藉由电浆能量来驱动反应。 电浆对蚀刻制程有物理性与化学性两方面的影响。首先,电浆会将蚀刻气体分子分解,产生能够快速蚀去材料的高活性分子。此外,电浆也会把这些化学成份离子化,使其带有电荷。 晶圆系置于带负电的阴极之上,因此当带正电荷的离子被阴极吸引并加速向阴极方向前进时,会以垂直角度撞击到晶圆表面。芯片制造商即是运用此特性来获得绝佳的垂直蚀刻,而后者也是干式蚀刻的重要角色。 基本上,随着所欲去除的材质与所使用的蚀刻化学物质之不同,蚀刻由下列两种模式单独或混会进行:

1. 电浆内部所产生的活性反应离子与自由基在撞击晶圆表面后,将与某特定成份之表面材质起化学反应而使之气化。如此即可将表面材质移出晶圆表面,并透过抽气动作将其排出。 2. 电浆离子可因加速而具有足够的动能来扯断薄膜的化学键,进而将晶圆表面材质分子一个个的打击或溅击(sputtering)出来。 化学气相沉积技术 化学气相沉积是制造微电子组件时,被用来沉积出某种薄膜(film)的技术,所沉积出的薄膜可能是介电材料(绝缘体)(dielectrics)、导体、或半导体。在进行化学气相沉积制程时,包含有被沉积材料之原子的气体,会被导入受到严密控制的制程反应室内。当这些原子在受热的昌圆表面上起化学反应时,会在晶圆表面产生一层固态薄膜。而此一化学反应通常必须使用单一或多种能量源(例如热能或无线电频率功率)。 CVD制程产生的薄膜厚度从低于0.5微米到数微米都有,不过最重要的是其厚度都必须足够均匀。较为常见的CVD薄膜包括有: ■二气化硅(通常直接称为氧化层) ■氮化硅 ■多晶硅 ■耐火金属与这类金属之其硅化物 可作为半导体组件绝缘体的二氧化硅薄膜与电浆氮化物介电层(plasmas nitride dielectrics)是目前CVD技术最广泛的应用。这类薄膜材料可以在芯片内部构成三种主要的介质薄膜:内层介电层(ILD)、内金属介电层(IMD)、以及保护层。此外、金层化学气相沉积(包括钨、铝、氮化钛、以及其它金属等)也是一种热门的CVD应用。 物理气相沉积技术 如其名称所示,物理气相沉积(Physical Vapor Deposition)主要是一种物理制程而非化学制程。此技术一般使用氩等钝气,藉由在高真空中将氩离子加速以撞击溅镀靶材后,可将靶材原子一个个溅击出来,并使被溅击出来的材质(通常为铝、钛或其合金)如雪片般沉积在晶圆表面。制程反应室内部的高温与高真空环境,可使这些金属原子结成晶粒,再透过微影图案化(patterned)与蚀刻,来得到半导体组件所要的导电电路。 解离金属电浆(IMP)物理气相沉积技术

半导体制造工艺流程

半导体制造工艺流程 N型硅:掺入V族元素--磷P、砷As、锑Sb P型硅:掺入III族元素—镓Ga、硼B PN结: 半导体元件制造过程可分为 前段(FrontEnd)制程 晶圆处理制程(WaferFabrication;简称WaferFab)、 晶圆针测制程(WaferProbe); 後段(BackEnd) 构装(Packaging)、 测试制程(InitialTestandFinalTest) 一、晶圆处理制程 晶圆处理制程之主要工作为在矽晶圆上制作电路与电子元件(如电晶体、电容体、逻辑闸等),为上述各制程中所需技术最复杂且资金投入最多的过程,以微处理器(Microprocessor)为例,其所需处理步骤可达数百道,而其所需加工机台先进且昂贵,动辄数千万一台,其所需制造环境为为一温度、湿度与含尘(Particle)均需控制的无尘室(Clean-Room),虽然详细的处理程序是随著产品种类与所使用的技术有关;不过其基本处理步骤通常是晶圆先经过适当的清洗(Cleaning)之後,接著进行氧化(Oxidation)及沈积,最後进行微影、蚀刻及离子植入等反覆步骤,以完成晶圆上电路的加工与制作。 二、晶圆针测制程 经过WaferFab之制程後,晶圆上即形成一格格的小格,我们称之为晶方或是晶粒(Die),在一般情形下,同一片晶圆上皆制作相同的晶片,但是也有可能在同一片晶圆上制作不同规格的产品;这些晶圆必须通过晶片允收测试,晶粒将会一一经过针测(Probe)仪器以测试其电气特性,而不合格的的晶粒将会被标上记号(InkDot),此程序即称之为晶圆针测制程(WaferProbe)。然後晶圆将依晶粒为单位分割成一粒粒独立的晶粒 三、IC构装制程 IC構裝製程(Packaging):利用塑膠或陶瓷包裝晶粒與配線以成積體電路目的:是為了製造出所生產的電路的保護層,避免電路受到機械性刮傷或是高溫破壞。 半导体制造工艺分类 半导体制造工艺分类 一双极型IC的基本制造工艺: A在元器件间要做电隔离区(PN结隔离、全介质隔离及PN结介质混合隔离)ECL(不掺金)(非饱和型)、TTL/DTL(饱和型)、STTL(饱和型)B在元器件间自然隔离 I2L(饱和型) 半导体制造工艺分类 二MOSIC的基本制造工艺: 根据栅工艺分类 A铝栅工艺 B硅栅工艺

A1半导体工艺生产流程

A1半导体工艺生产流程 半导体的生产工艺流程,做工艺 一、洁净室 一般的机械加工是不需要洁净室(clean room) 的,因为加工分辨率在数十微米以上,远比日常环境的微尘 颗粒为大。但进入半导体组件或微细加工的世界,空间单位都是以微米计算,因此微尘颗粒沾附在制作半导体组件的晶圆上,便有可能影响到其上精密导线布局的样式,造成电性短路或断路的严重后果。 为此,所有半导体制程设备,都必须安置在隔绝粉尘进入的密闭空间中,这就是洁净室的来由。洁净室的 洁净等级,有一公认的标准,以class 10为例,意谓在单位立方英呎的洁净室空间内,平均只有粒径0.5 微米以上的粉尘10粒。所以class后头数字越小,洁净度越佳,当然其造价也越昂贵(参见图2-1)。 为营造洁净室的环境,有专业的建造厂家,及其相关的技术与使用管理办法如下: 1、内部要保持大于一大气压的环境,以确保粉尘只岀不进。所以需要大型鼓风机,将经滤网的空气源源不绝地打入洁净室中。 2、为保持温度与湿度的恒定,大型空调设备须搭配于前述之鼓风加压系统中。换言之,鼓风机加压多久,冷气空调也开多久。 3、所有气流方向均由上往下为主,尽量减少突兀之室内空间设计或机台摆放调配,使粉尘在洁净室内回旋停滞的机会与时间减至最低程度。 4、所有建材均以不易产生静电吸附的材质为主。 5、所有人事物进出,都必须经过空气吹浴(air shower) 的程序,将表面粉尘先行去除。 6、人体及衣物的毛屑是一项主要粉尘来源,为此务必严格要求进出使用人员穿戴无尘衣,除了眼睛部位 外,均需与外界隔绝接触(在次微米制程技术的工厂内,工作人员几乎穿戴得像航天员一样。)当然,化 妆是在禁绝之内,铅笔等也禁止使用。 7、除了空气外,水的使用也只能限用去离子水(DI water, de-ionized water)。一则防止水中粉粒污 染晶圆,二则防止水中重金属离子,如钾、钠离子污染金氧半(MOS)晶体管结构之带电载子信道(carrier channel),影响半导体组件的工作特性。去离子水以电阻率(resistivity) 来定义好坏,一般要求至17.5M Q -cm以上才算合格;为此需动用多重离子交换树脂、RO逆渗透、与UV紫外线杀菌等重重关卡, 才能放行使用。由于去离子水是最佳的溶剂与清洁剂,其在半导体工业之使用量极为惊人! 8、洁净室所有用得到的气源,包括吹干晶圆及机台空压所需要的,都得使用氮气(98%),吹干晶圆的 氮气甚至要求99.8% 以上的高纯氮!以上八点说明是最基本的要求,另还有污水处理、废气排放的环保 问题,再再需要大笔大笔的建造与维护费用!

半导体工艺讲解

半导体工艺讲解(1)--掩模和光刻(上)概述 光刻工艺是半导体制造中最为重要的工艺步骤之一。主要作用是将掩膜板上的图形复制到硅片上,为下一步进行刻蚀或者离子注入工序做好准备。光刻的成本约为整个硅片制造工艺的1/3,耗费时间约占整个硅片工艺的40?60% 光刻机是生产线上最贵的机台,5?15百万美元/台。主要是贵在成像系统 (由15?20个直径为200?300mm勺透镜组成)和定位系统(定位精度小于10nm。其折旧速度非常快,大约3?9万人民币/天,所以也称之为印钞机。光刻部分的主要机台包括两部分:轨道机(Tracker ),用于涂胶显影;扫描曝光机(Scanning ) 光刻工艺的要求:光刻工具具有高的分辨率;光刻胶具有高的光学敏感性; 准确 地对准;大尺寸硅片的制造;低的缺陷密度。 光刻工艺过程 一般的光刻工艺要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝光、后烘、显影、硬烘、刻蚀、检测等工序。 1、硅片清洗烘干(Cleaning and Pre-Baking ) 方法:湿法清洗+去离子水冲洗+脱水烘焙(热板150?2500C,1?2分钟, 氮气保护) 目的:a、除去表面的污染物(颗粒、有机物、工艺残余、可动离子);除去水 b、蒸气,是基底表面由亲水性变为憎水性,增强表面的黏附性(对光刻胶或者是HMD?六 甲基二硅胺烷)。 2、涂底(Priming) 方法:a、气相成底膜的热板涂底。HMD蒸气淀积,200?2500C,30秒钟; 优点:涂底均匀、避免颗粒污染;b、旋转涂底。缺点:颗粒污染、涂底不 均匀、HMD用量大。 目的:使表面具有疏水性,增强基底表面与光刻胶的黏附性。 3、旋转涂胶(Spin-on PR Coati ng ) 方法:a、静态涂胶(Static )。硅片静止时,滴胶、加速旋转、甩胶、挥发溶剂(原光刻胶的溶剂约占65?85%旋涂后约占10?20% ; b、动态 (Dynamic)。低速旋转 (500rpm_rotation per minute )、滴胶、加速旋转(3000rpm)、甩胶、挥发溶剂。

宽禁带半导体材料和工艺设计

宽禁带半导体材料与工艺 1.1 宽禁带半导体的概念和发展 宽禁带半导体(WBS)是自第一代元素半导体材料(Si)和第二代化合物半导体材料(GaAs、GaP、InP等)之后发展起来的第三代半导体材料。这类材料主要包括SiC(碳化硅)、C-BN(立方氮化硼)、GaN(氮化镓、)AlN(氮化铝)、ZnSe(硒化锌)以及金刚石等。 第二代半导体GaAs与Si相比除了禁带宽度增大外,其电子迁移率与电子饱和速度分别是Si的6倍和2倍,因此其器件更适合高频工作。GaAs场效应管器件还具有噪声低、效率高和线性度好的特点但相比第三代半导体GaN和SiC,它的热导率和击穿电场都不高,因此它的功率特性方面的表现不足。为了满足无线通信、雷达等应用对高频率、宽禁带、高效率、大功率器件的需要从二十世纪九十年代初开始,化合物半导体电子器件的研究重心开始转向宽禁带半导体。 我们一般把禁带宽度大于2eV的半导体称为宽禁带半导体。宽禁带半导体材料具有宽带隙、高临界击穿电场、高热导率、高载流子饱和漂移速度等特点,在高温、高频、大功率、光电子及抗辐射等方面具有巨大的应用潜力。 1.2 主要的宽禁带半导体材料 近年来,发展较好的宽禁带半导体材料主要是SiC和GaN,其中SiC的发展更早一些,碳化硅、氮化镓、硅以及砷化镓的一些参数如下图所示:

图1-1 半导体材料的重要参数 如上图所示,SiC和GaN的禁带宽度远大于Si和GaAs,相应的本征载流子浓度小于硅和砷化镓,宽禁带半导体的最高工作温度要高于第一、第二代半导体材料。击穿场强和饱和热导率也远大于硅和砷化镓。 2.1 SiC材料 纯碳化硅是无色透明的晶体。工业碳化硅因所含杂质的种类和含量不同,而呈浅黄、绿、蓝乃至黑色,透明度随其纯度不同而异。碳化硅晶体结构分为六方或菱面体的α-SiC和立方体的β-SiC(称立方碳化硅)。α-SiC由于其晶体结构中碳和硅原子的堆垛序列不同而构成许多不同变体,已发现70余种。β-SiC于2100℃以上时转变为α-SiC。 SiC是IV-IV族二元化合物半导体,也是周期表IV族元素中唯一的一种固态化合物。构成元素是Si和C,每种原子被四个异种原子所包围,形成四面体单元(图25a)。原子间通过定向的强四面体SP3键(图25b)结合在一起,并有一定程度的极化。SiC具有很强的离子共价键,离子性对键合的贡献约占12%,决定了

半导体工艺整理资料

第一章微电子工艺引论 1.硅片、芯片的概念硅片:制造电子器件的基本半导体材料硅的圆形单晶薄片芯片:由硅片生产的半导体产品 2.* 什么是微电子工业技术?微电子工业技术主要包括哪些技术?微电子工艺技术:在半导体材料芯片上采用微米级加工工艺制造微小型化电子元器件和微型化电路技术。包括超精细加工技术、薄膜生长和控制技术、高密度组装技术、过程检测和过程控制技术等 3.集成电路制造涉及的5 个大的制造阶段的内容集成电路制造阶段:硅片制备、芯片制造、芯片测试/ 拣选、装配与封装、终测 4. IC工艺前工序,IC工艺后工序,以及IC工艺辅助工序 IC工艺前工序:薄膜制备技术:主要包括外延、氧化、化学气相淀积、物理气相淀积(如溅射、蒸发)等 掺杂技术:主要包括扩散和离子注入等技术图形 转换技术:主要包括光刻、刻蚀等技术 IC工艺后工序:划片、封装、测试、老化、筛选 IC工艺辅助工序:超净厂房技术;超纯水、高纯气体制备技术;光刻掩膜版制备技术;材料准备技术 5.微芯片技术发展的主要趋势提高芯片性能(速度、功耗)提高芯片可靠性(低失效)降低芯片成本(减小特征尺寸,增加硅片面积,制造规模) 6.什么是关键尺寸(CD)?芯片上的物理尺寸特征称为特征尺寸特别是硅片上的最小特征尺寸,也称为关键尺寸或CD 第二章半导体材料 1 .本征半导体和非本征半导体的区别是什么? 本征半导体:不含任何杂质的纯净半导体,其纯度在99.999999%(8~10个9) 2 .为何硅被选为最主要的半导体材料? 硅材料: 硅的丰裕度——制造成本低 熔点高(1412 0C)――更宽的工艺限度和工作温度范围 SiO2的天然生成 3. GaAs相对硅的优点和缺点各是什么?优点: a)比硅更高的电子迁移率,高频微波信号响应好一一无线和高速数字通信 b)抗辐射能力强――军事和空间应用 c)电阻率大――器件隔离容易实现 d)发光二极管和激光器 主要缺点 a)没有稳定的起钝化保护作用的自然氧化层 b)晶体缺陷比硅高几个数量级 c)成本高 第三章圆片的制备 1.两种基本的单晶硅生产方法 直拉法(CZ法)、区熔法 2.晶体缺陷根据维数可分为哪四种? a) 点缺陷—空位、自填隙等 b) 线缺陷—位错 c) 面缺陷—层错

半导体工艺(精)

半导体的生产工艺流程 -------------------------------------------------------------------------------- 一、洁净室 一般的机械加工是不需要洁净室(clean room)的,因为加工分辨率在数十微米以上,远比日常环境的微尘颗粒为大。但进入半导体组件或微细加工的世界,空间单位都是以微米计算,因此微尘颗粒沾附在制作半导体组件的晶圆上,便有可能影响到其上精密导线布局的样式,造成电性短路或断路的严重后果。 为此,所有半导体制程设备,都必须安置在隔绝粉尘进入的密闭空间中,这就是洁净室的来由。洁净室的洁净等级,有一公认的标准,以class 10为例,意谓在单位立方英呎的洁净室空间内,平均只有粒径0.5微米以上的粉尘10粒。所以class后头数字越小,洁净度越佳,当然其造价也越昂贵。 为营造洁净室的环境,有专业的建造厂家,及其相关的技术与使用管理办法如下: 1、内部要保持大于一大气压的环境,以确保粉尘只出不进。所以需要大型鼓风机,将经滤网的空气源源不绝地打入洁净室中。 2、为保持温度与湿度的恒定,大型空调设备须搭配于前述之鼓风加压系统中。换言之,鼓风机加压多久,冷气空调也开多久。 3、所有气流方向均由上往下为主,尽量减少突兀之室内空间设计或机台摆放调配,使粉尘在洁净室内回旋停滞的机会与时间减至最低程度。 4、所有建材均以不易产生静电吸附的材质为主。 5、所有人事物进出,都必须经过空气吹浴(air shower) 的程序,将表面粉尘先行去除。 6、人体及衣物的毛屑是一项主要粉尘来源,为此务必严格要求进出使用人员穿戴无尘衣,除了眼睛部位外,均需与外界隔绝接触(在次微米制程技术的工厂内,工作人员几乎穿戴得像航天员一样。) 当然,化妆是在禁绝之内,铅笔等也禁止使用。 7、除了空气外,水的使用也只能限用去离子水(DI water, de-ionized water)。一则防止水中粉粒污染晶圆,二则防止水中重金属离子,如钾、钠离子污染金氧半(MOS) 晶体管结构之带电载子信道(carrier channel),影响半导体组件的工作特性。去离子水以电阻率(resistivity) 来定义好坏,一般要求至17.5MΩ-cm以上才算合格;为此需动用多重离子交换树脂、RO逆渗透、与UV紫外线杀菌等重重关卡,才能放行使用。由于去离子水是最佳的溶剂与清洁剂,其在半导体工业之使用量极为惊人! 8、洁净室所有用得到的气源,包括吹干晶圆及机台空压所需要的,都得使用氮气(98%),吹干晶圆的氮气甚至要求99.8%以上的高纯氮!以上八点说明是最基本的要求,另还有污水处理、废气排放的环保问题,再再需要大笔大笔的建造与维护费用! 二、晶圆制作 硅晶圆(silicon wafer) 是一切集成电路芯片的制作母材。既然说到晶体,显然是经过纯炼与结晶的程序。目前晶体化的制程,大多是采「柴可拉斯基」(Czycrasky) 拉晶法(CZ 法)。拉晶时,将特定晶向(orientation) 的晶种(seed),浸入过饱和的纯硅熔汤(Melt) 中,并同时旋转拉出,硅原子便依照晶种晶向,乖乖地一层层成长上去,而得出所谓的晶棒(ingot)。晶棒的阻值如果太低,代表其中导电杂质(impurity dopant) 太多,还需经过FZ悬浮区熔法法(floating-zone) 的再结晶(re-crystallization),将杂质逐出,提高纯度与阻值。

半导体工艺(自己总结)

只是想多了解下工艺,因为自己不是学这个的,要补课啊 .... 是不是可以这么理解: 1.PAD oxide :SiO2在LOCOS 和STI 形成时都被用来当作nitride 的衬垫层,如果没有这个SiO2衬垫层作为缓冲之用,LPCVD nitride 的高张力会导致wafer 产生裂缝甚至破裂,同时也作为NITRIDE ETCH 时的STOP LA YER 2.SAC oxide :Sacrificial Oxide 在gate oxidation 之前移除wafer 表面的损伤和缺陷,有助于产生一个零缺陷的wafer 表面以生成高品质的gate oxide;经过HDP 后Pad Oxide 结构已经被破坏了,可能无法阻挡后面Implant 的离子。所以生长一层Sac Oxide ,作为在后面Implant 时对Device 的保护。 3.BPSG 含硼及磷的硅化物 BPSG 乃介于Poly 之上、Metal 之下,可做为上下两层绝缘之用,加硼、磷主要目的在使回流后的Step 较平缓,以防止Metal line 溅镀上去后,造成断线 4.ONO (OXIDE NITRIDE OXIDE ) 氧化层-氮化层-氧化层 半导体组件,常以ONO 三层结构做为介电质(类似电容器),以储存电荷,使得资料得以在此存取。在此氧化层 - 氮化层 – 氧化层三层结构,其中氧化层与基晶的结合较氮化层好,而氮化层居中,则可阻挡缺陷(如pinhole )的延展,故此三层结构可互补所缺. 5.space Oxide RIE Etch:猜想应当是氧化物隔离的反应离子刻蚀(RIE-Reactive Ion Etch ) 反应离子刻蚀是以物理溅射为主并兼有化学反应的过程。通过物理溅射实现纵向刻蚀,同时应用化学反应来达到所要求的选择比,从而很好地控制了保真度。刻蚀气体(主要是F 基和CL 基的气体)在高频电场(频率通常为13.56MHz )作用下产生辉光放电,使气体分子或原子发生电离,形成“等离子体”(Plasma )。在等离子体中,包含有正离子(Ion+)、负离子(Ion-)、游离基(Radical )和自由电子(e )。游离基在化学上是很活波的,它与被刻蚀的材料发生化学反应,生成能够由气流带走的挥发性化合物,从而实现化学刻蚀。 6:IMD Inter-Metal-Dielectric 金属绝缘层...(汗...........) 7:SOG spin-on glass 旋涂玻璃用于平坦化.SOD 是 SPIN-ON DOPANTS?自旋转掺杂剂?,具体作用不甚清楚了.... 至于N-DEPL 我怀疑是否是N 耗尽区的意思,但是不是很清楚CMOS 工艺中是如何实现这样的一个层次的,它是环绕DIFF 区域的一个可选层.莫非是反型的隔离? 外延: 外延生长之所以重要,在于外延层中的杂质浓度可以方便的通过控制反应气流中的杂质含量加以调节,而不依赖于衬底中的杂质种类与掺杂水平。 外延技术可用于解决高频功率器件的击穿电压与集电极串联电阻对集电极电阻率持相反要求的矛盾;掺杂较少的外延层保证了较高的击穿电压,高掺杂的衬底则可以大大降低集电极的串联电阻 CVD 需要高温,反应过程为()+气体4SiCl ()气体22H ()()↑+?气体固体HCl Si 4①,同时存在一竞争反应()()()气体固体气体242SiCl Si SiCl ?+,②因此若四氯化硅的浓度太高,则硅

半导体全制程介绍

半导体全制程介绍 《晶圆处理制程介绍》 基本晶圆处理步骤通常是晶圆先经过适当的清洗 (Cleaning)之后,送到热炉管(Furnace)内,在含氧的 环境中,以加热氧化(Oxidation)的方式在晶圆的表面形 成一层厚约数百个的二氧化硅层,紧接着厚约1000到 2000的氮化硅层将以化学气相沈积Chemical Vapor Deposition;CVP)的方式沈积(Deposition)在刚刚长成的二氧化硅上,然后整个晶圆将进行微影(Lithography)的制程,先在晶圆上上一层光阻(Photoresist),再将光罩上的图案移转到光阻上面。接着利用蚀刻(Etching)技术,将部份未被光阻保护的氮化硅层加以除去,留下的就是所需要的线路图部份。接着以磷为离子源(Ion Source),对整片晶圆进行磷原子的植入(Ion Implantation),然后再把光阻剂去除(Photoresist Scrip)。制程进行至此,我们已将构成集成电路所需的晶体管及部份的字符线(Word Lines),依光罩所提供的设计图案,依次的在晶圆上建立完成,接着进行金属化制程(Metallization),制作金属导线,以便将各个晶体管与组件加以连接,而在每一道步骤加工完后都必须进行一些电性、或是物理特性量测,以检验加工结果是否在规格内(Inspection and Measurement);如此重复步骤制作第一层、第二层的电路部份,以在硅晶圆上制造晶体管等其它电子组件;最后所加工完成的产品会被送到电性测试区作电性量测。 根据上述制程之需要,FAB厂内通常可分为四大区: 1)黄光本区的作用在于利用照相显微缩小的技术,定义出每一层次所需要的电路图,因为采用感光剂易曝光,得在黄色灯光照明区域内工作,所以叫做「黄光区」。

半导体技术-半导体制程

半导体制程 一、洁净室 一般的机械加工是不需要洁净室(clean room)的,因为加工分辨率在数十微米以上,远比日常环境的微尘颗粒为大。但进入半导体组件或微细加工的世界,空间单位都是以微米计算,因此微尘颗粒沾附在制作半导体组件的晶圆上,便有可能影响到其上精密导线布局的样式,造成电性短路或断路的严重后果。 为此,所有半导体制程设备,都必须安置在隔绝粉尘进入的密闭空间中,这就是洁净室的来由。洁净室的洁净等级,有一公认的标准,以class 10为例,意谓在单位立方英呎的洁净室空间内,平均只有粒径0.5微米以上的粉尘10粒。所以class后头数字越小,洁净度越佳,当然其造价也越昂贵。 为营造洁净室的环境,有专业的建造厂家,及其相关的技术与使用管理办法如下: 1.内部要保持大于一大气压的环境,以确保粉尘只出不进。所以需要大型鼓风机,将经滤网的空气源源不绝地打入洁净室中。 2.为保持温度与湿度的恒定,大型空调设备须搭配于前述之鼓风加压系统中。换言之,鼓风机加压多久,冷气空调也开多久。 3.所有气流方向均由上往下为主,尽量减少突兀之室内空间设计或机台摆放调配,使粉尘在洁净室内回旋停滞的机会与时间减至最低程度。 4.所有建材均以不易产生静电吸附的材质为主。 5.所有人事物进出,都必须经过空气吹浴 (air shower) 的程序,将表面粉尘先行去除。 6.人体及衣物的毛屑是一项主要粉尘来源,为此务必严格要求进出使用人员穿戴无尘衣,除了眼睛部位外,均需与外界隔绝接触(在次微米制程技术的工厂内,工作人员几乎穿戴得像航天员一样。) 当然,化妆是在禁绝之内,铅笔等也禁止使用。 7.除了空气外,水的使用也只能限用去离子水 (DI water, de-ionized water)。一则防止水中粉粒污染晶圆,二则防止水中重金属离子,如钾、钠离子污染MOS晶体管的载子信道(channel),影响半导体组件的工作特性。去离子水以电阻率 (resistivity) 来定义好坏,一般要求至17.5M?-cm以上才算合格;为此需动用多重离子交换树脂、RO逆渗透、与UV紫外线杀菌等重重关卡,才能放行使用。由于去离子水是最佳的溶剂与清洁剂,其在半导体工业之使用量极为惊人! 8.洁净室所有用得到的气源,包括吹干晶圆及机台空压所需要的,都得使用氮气 (98%),吹干晶圆的氮气甚至要求99.8%以上的高纯氮!以上八点说明是最基本的要求,另还有污水处理、废气排放的环保问题,再再需要大笔大笔的建造与维护费用! 二、晶圆制作 硅晶圆 (silicon wafer) 是一切集成电路芯片的制作母材。既然说到晶体,显然是经过纯炼与结晶的程序。目前晶体化的制程,大多是采用「柴可拉斯基」(Czycrasky) 拉晶法 (CZ法)。拉晶时,将特定晶向 (orientation) 的晶种 (seed),浸入过饱和的纯硅熔汤 (Melt) 中,并同时旋转拉出,硅原子便依照晶种晶向,乖乖地一层层成长上去,而得出所谓的晶棒 (ingot)。晶棒的阻值如果太低,代表其中导电杂质 (impurity dopant) 太多,还需经过FZ法 (floating-zone) 的再结晶 (re-crystallization),将杂质逐出,提高纯度与阻值。辅拉出的晶棒,外缘像椰子树干般,外径不甚一致,需予以机械加工修边,然后以X光绕射法,定出主切面 (primary flat) 的所在,磨出该平面;再以内刃环锯,削下一片片的硅晶圆。最后经过粗磨 (lapping)、化学蚀平 (chemical etching) 与拋光 (polishing) 等程序,得出表面粗糙度在0.3微米以下拋光面之晶圆。(至于晶圆厚度,与其外径有关) 三、半导体制程设备 半导体制程概分为三类:(1)薄膜成长 (2)微影罩幕 (3)蚀刻成型。设备也跟着分为四类:(a)高温炉管 (b)微影机台 (c)化学清洗蚀刻台 (d)电浆真空腔室。其中(a)~(c)机台依序对应(1)~(3)制程,而新近发展的第(d)项机台,则分别应用于制程(1)与(3)。

相关主题
文本预览
相关文档 最新文档