当前位置:文档之家› 真空镀膜机控制系统的设计

真空镀膜机控制系统的设计

真空镀膜机控制系统的设计
真空镀膜机控制系统的设计

真空镀膜机控制系统的设计

一、设计功能与要求

太阳能真空集热管磁控溅射镀膜机主要用于太阳能真空集热管产生中镀制渐变的精确的化学配比氮化铝膜,在设定工艺自动运行的过程中,参数的设定主要包括对镀膜时间、氮气的流量、氩气的流量、靶电流以及靶模式选择的设定,如下表所示。参数设定是在自动控制复位的状态下根据工艺的要求由操作人员来完成的,设定后存储在存储器中以等待工艺运行时调用。

表1 工艺参数设定

二、系统设计思路

采用手动控制和自动控制相结合的设计思路,设计采用FPGA为核心控制芯片,基于VHDL 语言,这个自动控制系统的设计分成工序流程控制模块、参数设置及调用模块和通信模块三个模块。其中工序流程模块采用有限状态机设计,完成工序流程的控制;参数设置及调用模块主要完成系统所需的参数的设置,需要设置的参数包括镀膜的时间,氮气流量,氩气流量、靶电流大小以及对靶的模式,参数设置及调用模块主要为SRAM的设计;通信模块设计了UART接口,便于与计算机或其他设备之间进行通信。

系统的设计原理框图如下:

图1:系统原理框图

三、系统的实现

3.1工序控制流程模块:

用状态机来设计,状态机是数字设计的重要组成部分,是实现高效率,高可靠逻辑控制的重要途径。此模块采用MOORE状态机来描述,其状态机的状态转移图如下图所示,其中包好了17个状态,即包含了整个工序控制的流程,没有标明条件的是一种“无条件”转换。

图2:工序自动运行的状态转移图

1.自动控制模块的功能仿真及分析

如图3所示,在复位信号reset变为高电平(reset=’1’)后,开自动运行(atom_hand=’1’),接着自动运行工序;开机械泵(machinpump=’1’),开预抽阀(beforeluntvalve=’1’),判断真空度

1(vacuity=’1’时);关预抽阀(beforeluntvalve=’0’),开前级阀(prevalve=’1’),开高阀(highvalve=’1’),关维持阀(naintainvalve=’0’),关维持泵(maintainpump=’0’),判断真空度2(vacuity2=’1’时);关光闸阀(lightbarriervalve=’1’),开截止阀(cutvalve=’1’),判断真空度3(vacuity3=’1’时);开氮气控制(nitrogenontrol=’1’)和氩气控制(argoncontrol=’1’),开工件旋转(workpiecerun=’1’),开靶运行(buttrun=’1’),加靶电流(buttcurrent1、2、3),自动运行(crafrun=’1’);运行完毕后,开充气阀(aeratevalve=’1’),开门信号(onoffdoor=’1’),取工件,进行下一个工序;

图3:自动控制系统模块的功能仿真(1)

图3:自动控制系统模块的功能仿真zoom out(2)

由于此程序较长,具体的程序设计见附录。

3.2 参数设置及调用模块

参数设定是在镀膜机工艺运行以前完成的,参数的调用时在工序流程模块中的第十个状态(state10)完成的,如下图4所示的设计原理框图,它由操作者根据镀膜工艺的要求事先设定,再通过设置数据,包括镀膜的时间、氩气流量、氮气流量、靶电流大小、靶的选取模式,在运行时调用这些参数进行工艺设计。

参数的输入采用两位十进制数(8位二进制)的方法,通过存储器把镀膜的时间,氩气流量、氮气流量、靶电流的大小等分别存储在RAM1,RAM2,RAM3,RAM4,RAM5中,以备设备运行时调用。

在设置参数时,用一个控制器con2完成了对参数的选择,即对存储器的选择。在镀膜机的工艺自动运行中,因每一层镀膜工艺的不同,其设定及运行的参数也是不一样的,控制器con1用于对层数的控制,层数的控制包括参数的设置时的控制以及工艺自动运行时的控制,参数设置时的层数是一个内部的信号输入量,它完成了本层的镀膜后自动调用下一层设置的参数进行运行,在本设计的程序描述中是由一个十进制递减计数器sconter发出的。

con3是对选取靶模式的控制,在镀膜时,有一个靶电压的输入,该系统的要求是设定3个输入的靶电压1,靶2,靶3,根据不同的镀膜工艺选取一个或者几个靶同时工作,在镀膜完成后,con3发出一个结束镀膜工艺的完成信号,当然还有一个对运行时间计数的十进制递减计数器sconter,它是一个可预置的计数器,它还要完成本层镀膜时间完成后层数的递增,并返回给con1来完成对层数的控制,为了对设定和运行的数据进行观察,采用了译码器decoder用数码管来显示数据,它是一个由7段数码管构成的译码器,分别对设置及运行参数的个位和十位进行译码。

1)参数设置及调用模块的顶层映射的原理图如下:(在quartus中用RTL viewer观察):

图5 top顶层映射的原理图

2)顶层的VHDL硬件描述

begin

u1: con2 port map(a=>fin,b=>fout1,c=>ca,s=>wrt); --con2的映射

u2: con1 port map(x=>cssz,y(0)=>cs1,y(1)=>cs2,y(2)=>cs3,y(3)=>cs4,

y(4)=>cs5); --con1的映射

u3: sram1 port map(cs=>cs1,wr=>wrt,adr=>ca,din=>dint,

dout=>douts1,clk=>clkj); --sram1(时间)的映射

u4: sram1 port map(cs=>cs2,wr=>wrt,adr=>ca,din=>dint,

dout=>doutb,clk=>clkj); --sram1(氩气)的映射u5: sram1 port map(cs=>cs3,wr=>wrt,adr=>ca,din=>dint,

dout=>doutc,clk=>clkj); --sram1(氮气)的映射u6: sram1 port map(cs=>cs4,wr=>wrt,adr=>ca,din=>dint,

dout=>doutd,clk=>clkj); --sram1(靶电流)的映射u7: sram1 port map(cs=>cs5,wr=>wrt,adr=>ca,din=>dint,

dout=>douts2,clk=>clkj); --sram1(靶数)的映射

u8: sconter port map(dd=>douts1,clk=>clk1,f=>fout1,

f0=>fout,en=>wrt,qq=>douta); --sconter(递减计数器)的映射

u9: con3 port map(m=>douts2,n=>yt,over=>ov); --con3的映射

u10: pulse port map(en=>wrt,clock=>clkj,clk=>clk1); --pulse的映射

u11: decoder port map(seg(3)=>douta(7),seg(2)=>douta(6),

seg(1)=>douta(5),seg(0)=>douta(4),

q3=>douta1); --decoder的映射

u12: decoder port map(seg(3)=>douta(3),seg(2)=>douta(2),

seg(1)=>douta(1),seg(0)=>douta(0),

q3=>douta2); --decoder的映射

u13: decoder port map(seg(3)=>doutb(7),seg(2)=>doutb(6),

seg(1)=>doutb(5),seg(0)=>doutb(4),

q3=>doutb1); --decoder的映射

u14: decoder port map(seg(3)=>doutb(3),seg(2)=>doutb(2),

seg(1)=>doutb(1),seg(0)=>doutb(0),

q3=>doutb2); --decoder的映射

u15: decoder port map(seg(3)=>doutc(7),seg(2)=>doutc(6),

seg(1)=>doutc(5),seg(0)=>doutc(4),

q3=>doutc1); --decoder的映射

u17: decoder port map(seg(3)=>doutd(7),seg(2)=>doutd(6),

seg(1)=>doutd(5),seg(0)=>doutd(4),

q3=>doutd1); --decoder的映射

u18: decoder port map(seg(3)=>doutd(3),seg(2)=>doutd(2),

seg(1)=>doutd(1),seg(0)=>doutd(0),

q3=>doutd2); --decoder的映射

end struct;

3)参数设置及调用模块的功能仿真:

2.分频器的设计

pulse分频器的是一个32Mhz的分频器,这里是基于50Mhz的电源时钟频率,要用来作为1Hz 的秒脉冲时钟,其分频系数为32×106。

1)VHDL描述

counter:process(clock,en)

variable count2:integer;

variable clk0:std_logic;

begin

if en='0' then count2:=0;clk0:='0';

elsif clock'event and clock='1' then count2:=count2+1;

if count2=32000000 then clk0:='1';

elsif count2=64000000 then count2:=0;clk0:='0';

end if;

end if;

clk<=clk0;

end process;

2)功能仿真波形

为了方便观察,波形中将分频系数改为6分频,仿真波形如下所示:

图6 分频器的仿真

3.CON1的设计

con1程序完成的是对SRAM的选则功能,设计中SRAM的片选信号为采用低电平有效,对应5个SRAM,分别存储设定的运行时间,氩气量,氮气量,靶电流和选靶模式。

1)con1的VHDL描述

entity con1 is

port(x:in std_logic_vector(3 downto 0);

y:out std_logic_vector(4 downto 0));

end con1;

architecture bhv of con1 is

begin

with x select

y<="11110" when "0001",

"11101" when "0010",

"11011" when "0011",

"10111" when "0100",

"01111" when "0101",

"11111" when others;

end bhv;

2)功能仿真

如图所示,当x=0001时,y=11110,说明sram1被选中;同理,当x=0010时,y=11101,sram2被选中;

图7 con1的功能仿真

4.CON2的设计

con2完成的是对工艺运行层数的控制,其中包括镀膜自动运行层数(“b”)和设置参数层数(“a”)的控制,“s”是对“a”和“b”的选择,当s=“0”时,选择的是设置参数层数(“a”),当s=”1”时,选择镀膜自动运行层数(“b”);“s”是由控制运行时间的SRAM和decoder所确定的。

1)con2的VHDL描述

entity con2 is

port(a,b:in std_logic_vector(3 downto 0);

s:in std_logic;

c:out std_logic_vector(3 downto 0));

end con2;

architecture bhv of con2 is

begin

process(s,a,b)

begin

if s='0' then c<=a;

else c<=b;

end if;

end process;

end bhv;

2)con2的功能仿真

如图所示,当s=0时,输出为c=a;当s=1时,输出为c=b;

图8 con2的功能仿真

5.CON3的设计

con3用于对靶的控制,其功能表如下表2所示,over发出工艺运行完毕的信号,m是SRAM 发出的设定参数。

M(SRAM) 靶1 靶2 靶3 Over

00000010 0 1 0 0

00000100 1 1 0 0

00000110 0 1 1 0

00000111 1 1 1 0

00000000 0 0 0 0

00000001 1 0 0 0

00000011 0 0 1 0

00000101 1 0 1 0

00001000 0 0 0 1 其它0 0 0 0

表2 选靶的对应模式表

1)con3的VHDL描述

architecture bhv of con3 is

begin

process(m)

begin

case m is

when "00000000"=>n<="000";over<='0';

when "00000001"=>n<="001";over<='0';

when "00000010"=>n<="010";over<='0';

when "00000011"=>n<="100";over<='0';

when "00000100"=>n<="011";over<='0';

when "00000101"=>n<="101";over<='0';

when "00000110"=>n<="110";over<='0';

when "00000111"=>n<="111";over<='0';

when "00001000"=>n<="000";over<='1';

when others=>n<="000";

end case ;

end process;

2)con3的功能仿真

图9:con3的功能仿真

6.SRAM1的设计

sram1是一个静态存储器,它完成数据的读写功能,作为一个内部的数据存储器,起到了数据调用暂存的功能,以提高处理器处理数据的速度。

1)sram1的VHDL描述

architecture bhv of sram1 is

subtype word is std_logic_vector(7 downto 0); --数组的定义

type memory is array(0 to 15) of word;

signal sram: memory:=(others=>(others=>'0'));

signal adr_in:integer range 0 to 15;

begin

adr_in<=conv_integer(adr); --二进制转换为十进制的地址

writ:process(wr,cs,adr_in,din,sram,clk) --写入sram

begin

if clk'event and clk='1' then

if cs='0' and wr='0' then sram(adr_in)<=din;

end if;

end if;

end process;

red:process(adr_in,wr,sram,clk) --读出sram

begin

if clk'event and clk='1' then

if (wr='1') then dout<=sram(adr_in);

else dout<=(others=>'Z');

end if;

end if;

end process;

end bhv;

2)功能仿真

wr是一个读写控制信号,当wr=0时,是写过程,把数据写入到地址线所表示的地址中;

当wr=1时,是读的过程,将地址线代表的地址中的数据读出。

图10 sram1的功能仿真

7.SCONTER的设计

sconter是一个可配置的十进制递减计数器,它完成的是每一层镀膜时间的计数,此参数是在运行前设定的,当镀层镀的时间计数到零时,它输出的层数自动加1跳到下一层运行。

1)VHDL硬件描述

architecture bhv of sconter is

begin

process(en,clk)

variable scnt:std_logic_vector(7 downto 0);

variable sc,sc1:std_logic_vector(3 downto 0);

begin

if en='0' then scnt:="00000000";

sc:="0000";sc1:=sc-1;f<=sc;

elsif (clk'event and clk='1') then

if scnt="00000000" then

scnt:=dd;sc1:=sc1+1;f<=sc1;f0<=sc1;qq<=scnt;

elsif scnt="00010000" then scnt:="00001001";

qq<=scnt; --输出到译码显示器

elsif scnt="00100000" then scnt:="00011001";

qq<=scnt;

elsif scnt="00110000" then scnt:="00101001";

qq<=scnt;

elsif scnt="01000000" then scnt:="00111001";

qq<=scnt;

elsif scnt="01010000" then scnt:="01001001";

qq<=scnt;

elsif scnt="01100000" then scnt:="01011001";

qq<=scnt;

elsif scnt="01110000" then scnt:="01101001";

qq<=scnt;

elsif scnt="10000000" then scnt:="01111001";

qq<=scnt;

elsif scnt="10010000" then scnt:="10001001";

qq<=scnt;

else scnt:=scnt-1; qq<=scnt;

end if;

end if;

end process;

2)功能仿真

输入的是一个8位二进制数,而在译码器中是每4位译码作为十进制在数码管中显示的,把高四位称作“十位”,低4位作为“个位”,在BCD码的4位二进制编码中只用了10个,由图可以看出,从9递减到0后,输出家加1,完成了所需功能

图11 sconter的功能仿真

8.DECODER的设计

decoder是一个由7段数码管构成的译码器,如下表3给出了七段数码管译码器的真值表。

表3 decoder的真值表1)VHDL的硬件描述

architecture bhv of decoder is

begin

process(seg)

begin

case seg is

when "0000" => q3<="0000001"; --0

when "0001" => q3<="1001111"; --1

when "0010" => q3<="0010010"; --2

when "0011" => q3<="0000110"; --3

when "0100" => q3<="1001100"; --4

when "0101" => q3<="0100100"; --5

when "0110" => q3<="0100000"; --6

when "0111" => q3<="0001111"; --7

when "1000" => q3<="0000000"; --8

when "1001" => q3<="0000100"; --9

when others => q3<="1111111"; --0

end case;

end process;

end bhv;

2)decoder的功能仿真

如图所示,当seg=0010时,q3=0010010;

当seg=1101(others)时,q3=1111111;

图12 decoder功能仿真

3.3 通信模块的设计

异步收发器常用于短距离、低速、低成本的微机与下位机的通信,在此作为真空镀膜机的FPGA控制器与复合真空计之间的通信接口,下图为异步收发器的原理框图,分成三个字模块和一个总模块:波特率发生器、接收模块、发送模块、顶层映射模块。

这里采用了4800b/s的波特率,采样时采用了波特周期的1/8时钟,接收的是6个8位数据,因此没开始接受6帧的数据作为并行输出;发送机发送的是8位的握手信号,其UART的数据帧格式如下:

由于串行数据帧和接收机时钟是异步的,因而把逻辑1转为逻辑0作为一个数据帧的起始位,为了避免毛刺现象得到正确的起始位,要求接收到的起始位在波特率时钟采样的过程中至少有一半都属于逻辑0,才认为接收到的是起始位,所以起始位至少需要4个连续波特时钟BCLK 周期的的逻辑0被接收,才认为起始位被接收到,接着数据位和奇偶校验位将被隔8个连续bclk 周期被采样一次,即将在每个位的中点处被采样。

1.UART顶层模块设计

1)VHDL描述如下:

architecture behavioral of uart is

component pulsetr

port(clk:in std_logic;

reset:in std_logic;

bclk:out std_logic);

end component;

component t_uartr

port(bclk,reset: in std_logic;

ready:in std_logic;

txd:out std_logic);

end component;

component R_UARTR

port(reset,bclk: in std_logic;

rxd:in std_logic;

rout :out std_logic_vector(7 downto 0);

ready,warning: out std_logic);

end component;

signal sign0,sign1:std_logic;

begin

u0: pulsetr port map(reset=>reset,clk=>clk,bclk=>sign0);

u1: t_uaRtr port map(reset=>reset,bclk=>sign0,ready=>sign1,txd=>zkout);

u2: R_UARTR port

map(reset=>reset,bclk=>sign0,rxd=>rtin,rout=>rtout,warning=>warning,ready=>sign1);

rtclk<=sign0;

end behavioral;

2)顶层的RTL VIEWER

3)顶层设计的功能仿真波形如下:

在reset=1时,接收机接收的数据以并行的故事输出,及rtout=01010001,rtclk为波特时钟,该输出是为了仿真的方便和更加正确的分析仿真结果的精确性;

2.分频器的设计

1)分频器的VHDL描述

process(clk,reset)

variable count:integer ;

variable rclk: std_logic;

begin

if reset='0' then count:=1;rclk:='0';

elsif clk'event and clk='1' then

if count=32/(bit1*n) then rclk:=not rclk;count:=count+1;

elsif count=32/(bit1*n*2) then rclk:=not rclk;count:=1;

else count:=count+1;

end if ;

end if ;

bclk<=rclk;

end process;

2)分频器的功能仿真

3.接收机R_UART的设计

接收机根据UART的数据格式,也包括1位起始位和8位数据位,1位停止位,在起始位到来之前,接收的数据都是‘1’,处于高电平;当低电平到来时,表示起始位已经到来,然后开始发送数据位,当数据位接收完毕后,对下一位停止位进行检测,当检测到停止位1时,表示接受的数据正确,此帧的数据发送接收完成,准备下一帧数据的接收,流程图和状态转移图如下图所示:

1)接收机的VHDL描述:

architecture bhv of R_UARTr IS

type states is(pstart,rstart,rcenter,rwait,rsample,rstop);

signal cstate:states;

signal mclk,flag0: std_logic;

begin

p0:process(bclk,reset,rxd)

variable rcntb,rcnt,rcntd:std_logic_vector(3 downto 0);

variable warning0:std_logic;

begin

if reset='0' then --复位状态

cstate<=pstart;

rcntb:="0000";

rcnt:="0000";

rcntd:="0000";

warning0:='0';

elsif bclk'event and bclk='1' then

case cstate is

when pstart=>

cstate<=rstart; --预备开始状态

when rstart=> --开始状态

if rxd='0' then cstate<=rcenter;

else cstate<=rstart;rcntb:="0000";

end if;

when rcenter=> --求中点状态

if rcntb=2 then cstate<=rwait;rcntb:="0000" ;

else rcntb:=rcntb+1;cstate<=rstart;

end if;

when rwait=> --等待状态

if rcntb=6 then cstate<=rsample;rcntb:="0000";

else rcntb:=rcntb+1;cstate<=rwait;

end if;

when rsample=>

if flag0='1' then cstate<=rstop;

else cstate<=rwait;

end if;

when rstop=>

if rxd='0' then

if rcnt<3 then cstate<=pstart;rcnt:=rcnt+1;

else warning0:='1';

end if;

if mclk='1' then cstate<=pstart;

end if;

end if;

warning<=warning0;

when others=>cstate<=pstart;

end case ;

end if;

end process p0;

process(bclk,reset,rxd)

variable rrout:std_logic_vector(8 downto 0);

variable bitc,count:integer range 0 to 8;

variable rready,mclk0,flag1: std_logic;

begin

if reset='0' then

bitc:=0;count:=0;rready:='0';mclk0:='0';

flag1:='0'; rrout:="000000000";

elsif

bclk'event and bclk='1' then

case cstate is

when pstart=>

rready:='1';count:=0;bitc:=0;rrout:="000000000";

rout<=rrout(7 downto 0);

when rstart=>rready:='0'; --开始状态

when rcenter=>rready:='0'; --求中点状态

when rwait=>NULL; --等待状态

when rsample=> --采样状态

rrout(bitc):=rxd;

if bitc>=8 then flag1:='1' ; bitc:=0;

else bitc:=bitc+1;flag1:='0';

end if ;

flag0<=flag1;

when rstop=>

rout<=rrout(7 downto 0);

rrout:="000000000";

if count>=bitn*n/2 then

count:=0;mclk0:='1';

else mclk0:='0';count:=count+1;

end if;

mclk<=mclk0;

end case;

end if;

ready<=rready;

end process;

end bhv;

2)接收机的仿真

4.发送机的设计

1)发送机的状态转移图:

2)发送机的VHDL描述

architecture bhv of t_UARTR IS

type states is(tidle,twait,tshift);

signal cstate:states;

begin

p0:process(bclk,reset,ready)

variable handsign:std_logic_vector(9 downto 0);

variable ttout:std_logic;

variable tcnt:std_logic_vector(3 downto 0);

variable tcntd:integer range 0 to 9;

begin

if reset='0' then --复位状态cstate<=tidle;

tcnt:="0000";

tcntd:=0;

elsif bclk'event and bclk='1' then

case cstate is

when tidle=> --空闲状态

ttout:='1';tcntd:=0;txd<=ttout;

handsign:="1001100000";

if ready='1' then cstate<=tshift;

else cstate<=tidle;

end if;

when twait=> --等待状态

if tcnt=6 then cstate<=tshift;

tcnt:="0000";

tcntd:=tcntd+1;

else tcnt:=tcnt+1;cstate<=twait;

end if;

when tshift=> --发送数据状态

ttout:=handsign(tcntd);txd<=ttout;

if tcntd=9 then cstate<=tidle;tcntd:=0;

else cstate<=twait;

end if;

end case;

end if;

end process;

end bhv;

3)发送机的仿真

如图,此时发送的正是“0010100101”当ready=1时,开始发送起始位0,然后每隔8个bclk发送一个数据(握手信号30H).,按照二进制从低位向高位依次发送,最后发送停止位1.发送完毕,txd重新回到高电平1,等下一个ready信号再次发送握手信号。

PWM控制直流电机的系统的设计

电力电子与电机拖动综合课程设计 题目: PWM控制直流电机的系统 专业: 05自动化 学号: 200510320219 姓名:张建华 完成日期: 指导教师:李晓高

电力电子与电机拖动综合课程设计任务书 班级:自动化05 姓名:张建华指导老师:2008年6月10日 年月日

目录

1 引言 直流电机由于具有速度控制容易,启、制动性能良好,且在宽范围内平滑调速等特点而在冶金、机械制造、轻工等工业部门中得到广泛应用。直流电动机转速的控制方法可分为两类,即励磁控制法与电枢电压控制法。励磁控制法控制磁通,其控制功率虽然小,但低速时受到磁饱和的限制,高速时受到换向火花和换向器结构强度的限制;而且由于励磁线圈电感较大,动态响应较差。所以常用的控制方法是改变电枢端电压调速的电枢电压控制法。调节电阻R即可改变端电压,达到调速目的。但这种传统的调压调速方法效率低。随着电力电子技术的进步,发展了许多新的电枢电压控制方法,其中PWM(脉宽调制)是常用的一种调速方法。其基本原理是用改变电机电枢(定子)电压的接通和断开的时间比(占空比)来控制马达的速度,在脉宽调速系统中,当电机通电时,其速度增加;电机断电时,其速度减低。只要按照一定的规律改变通、断电的时间,即可使电机的速度达到并保持一稳定值。最近几年来,随着微电子技术和计算机技术的发展及单片机的广泛应用,使调速装置向集成化、小型化和智能化方向发展。 本电机调速系统采用脉宽调制方式, 与晶闸管调速相比技术先进, 可减少对电源的污染。为使整个系统能正常安全地运行, 设计了过流、过载、过压、欠压保护电路, 另外还有过压吸收电路。确保了系统可靠运行。 2 系统概述 2.1 系统构成 本系统主要有信号发生电路、PWM速度控制电路、电机驱动电路等几部分组成。整个系统上采用了转速、电流双闭环控制结构,如图1所示。在系统中设置两个调节器,分别调节转速和电流,二者之间实行串级连接,即以转速调节器

轴自动钻孔机控制系统使用说明书

四轴表带自动钻孔机 一简介 四轴表带自动钻孔机控制系统是由海川数控自主研发的 控制系统。硬件组成包括海川自主研发的HC200A4控制器, 人机界面以及接近开关传感器组成。具有自动化程度高,加 工速度快,工作稳定等特点。目前已经多家厂商的机器中正 常应用。 应用背景 随着社会的发展,人们对手表产品的需求日益增多,特别是表带的精密度要求越来越高。鉴于此,海川数控自主研发“四轴表带自动钻孔机系统”。本系统可应用在各种表带钻孔机上。 系统原理及配置 本控制器支持最多6轴联动,24路输入输出。在本绑线系统中,使用了4轴控制机械.运动方式为4轴联动;四轴使用闭环控制,保证了钻孔的准确。 (1)HC200A4控制器 (2)人机界面 (3)接近开关传感器 (4)伺服电机 技术参数 ?

(1) 钻孔速度累计时间可达到1mm/s (2) 支持两种孔位排序模式 (3) 支持两种走到模式 (4) 支持自适应回原点功能及自定义原点功能 (5) 支持伺服报警显示功能 (6) 支持运行速度及回原点速度设定 (7) 支持手动气缸动作方便调机 (8) 支持手动伺服点动及回原点?方便调机 二人机界面使用说明 系统初始化: 设备上电,系统会有一个启动的过程。当这一过程结束后,系统处于初始状态。触摸屏显示首页如图(1-1)所示。 自动操作 首页在此页面上可以进行以下操作。 主界面按一下【主界面】按钮,系统切换到钻孔主界面如图(1-1)所示。 钻孔参数按一下【钻孔参数】按钮,系统切换到钻孔参数页面如图(2-3)所示。 孔位参数按一下【孔位参数】按钮,系统切换到孔位参数页面如图(2-4)所示。 公用参数按一下【公用参数】按钮,系统切换到公用参数页面如图(2-6)所示。 系统参数按一下【系统参数】按钮,系统切换到系统参数页面如图(2-7)所示。

堆垛机结构设计

摘要 自动化立体仓库,也叫自动化立体仓储,利用立体仓库设备可实现仓库高层合理化,存取自动化,操作简便化。堆垛机是整个自动化立体仓库的核心设备,通过手动操作,半自动操作和全自动操作实现把货物从一处搬运到另一处。它由机架(上横梁,下横梁,立柱),水平行走机构,载货台,货叉及电气控制系统构成。 本文主要是通过对一套实验室教学装置为基础,以实际为参考而建立的虚拟自动化立体仓库堆垛机系统,作者主要对堆垛机的分类进行简要的介绍,对堆垛机的各个部分的结构进行详细的研究首先对不同堆垛机简介和描述,然后通过巷道堆垛机进行整体分析并设计各个部分的结构,完成对各个部分的受力校核。 关键词:立体仓库、堆垛机、结构、受力校核。

Abstract Automated multi-layered storehouse, also call for automated warehouse, using three-dimensional warehouse equipment can realize warehouse top rationalization, access automation, operation to handle. Stacking machine is the core of the whole automated warehouse equipment, through manual, semiautomatic operation and automatic operation to put the goods from one place to another place in handling. It is composed of (beam in beam, support), the mobile mechanism, bills, level platform, goods fork and electrical control system structure. This paper is mainly based on a set of laboratory teaching equipment as the basis, the actual for reference and establishing virtual automated multi-layered storehouse stacker system, the author mainly to the stackers classification, a brief introduction about the parts of stacker detailed study of the structure of different stacker first introduction and description, and then through the tunnel stacker integral analysis and design of structure, various parts of each part of the complete stress checking. Keywords: Automated multi-layered storehouse,Stacker,structure,Stress checking

基于PLC的电动机制动控制系统设计

目录 课程设计任务书 (1) 1 课题介绍 (2) 1.1 题目 (2) 1.2 背景介绍 (2) 2 总体方案设计 (4) 2.1 设计目的 (4) 2.2 控制要求 (4) 2.3 设计要求 (4) 3 硬件设计 (4) 3.1 硬件方案框图 (4) 3.2 硬件选型 (5) 3.3 主电路原理图的设计 (6) 3.4 控制电路原理图的设计 (6) 4 软件设计及调试 (8) 4.1 控制系统的I/O点及地址分配 (8) 4.2系统工作流程框图 (8) 4.3 系统调试 (10) 5 总结 (12) 参考文献 (14) 附录 (16)

安徽农业大学经济技术学院 《电气控制与可编程控制器》课 程 设 计 任 务 书 题目 基于PLC 的电动机制动控制系统设计与调试 专业、班级 电气08-2 班 学号 2008010202 姓名 主要内容、基本要求、主要参考资料等: 一、主要内容 1.熟悉题目、收集资料,充分了解技术要求,明确设计任务; 2.总体设计。正确选定设计方案,画出系统总体结构框图; 3.硬件设计。选择电器元器件,确定电器元器件明细表。用CAD 画出电气原理图,并作简要分析; 4.软件设计。根据控制要求确定I/O 分配表,画出系统工作流程图,设计程序及编写程序说明,给出编程原件明细表等; 5.系统调试; 6.整理编写课程设计说明书。 二、课题要求 1.控制要求 三相笼型异步电动机具有反接制动电阻的可逆运行反接制动控制。 2.设计要求 1)控制系统采用PLC 来实现; 2)提供短路、过载、联锁等保护措施; 3)具有紧急停车功能; 三、基本要求 1.根据题意, 用CAD 画出电气原理图和PLC 端子接线图。设计要合理,画图要规范标准。 2.完成程序的编写工作,并利用模拟器和实验室设备完成调试工作。 3. 完成课程设计说明书一份,阐明设计任务与依据,设计原则、方法、设计方案与成果,并力求论证充分、简明通顺、条理清晰、逻辑性强。 四、主要参考文献 王永华.现代电气控制及PLC 应用技术.北京航空航天大学出版社. 指导教师签名: 课程负责人签名: 2012年 5 月 10日 学院: 专业班级: 姓名: 学号: 装 订 线

直流电机控制系统设计

直流电机控制系统设计

XX大学 课程设计 (论文) 题目直流电机控制系统设计 班级 学号 学生姓名 指导教师

沈阳航空航天大学 课程设计任务书 课程名称专业基础课程设计 院(系)自动化学院专业测控技术与仪器 班级学号姓名 课程设计题目直流电机控制系统设计 课程设计时间: 2012年7 月9 日至2012年7 月20 日 课程设计的内容及要求: 1.内容 利用51单片机开发板设计并制作一个直流电机控制系统。系统能够实时控制电机的正转、反转、启动、停止、加速、减速等。 2.要求 (1)掌握直流电机的工作原理及编程方法。 (2)掌握直流电机驱动电路的设计方法。 (3)制定设计方案,绘制系统工作框图,给出系统电路原理图。 (4)用汇编或C语言进行程序设计与调试。 (5)完成系统硬件电路的设计。 (6)撰写一篇7000字左右的课程设计报告。 指导教师年月日 负责教师年月日

学生签字年月日 目录 0 前言 (1) 1 总体方案设计 (2) 1.1 系统方案 (2) 1.2 系统构成 (2) 1.3 电路工作原理 (2) 1.4 方案选择 (3) 2 硬件电路设计 (3) 2.1 系统分析与硬件设计 (3) 2.2 单片机AT89C52 (3) 2.3 复位电路和时钟电路 (4) 2.4 直流电机驱动电路设计 (4) 2.5 键盘电路设计 (4) 3软件设计 (5) 3.1 应用软件的编制和调试 (5) 3.2 程序总体设计 (5) 3.3 仿真图形 (7) 4 调试分析 (9) 5 结论及进一步设想 (9) 参考文献 (10) 课设体会 (11) 附录1 电路原理图 (12) 附录2 程序清单 (13)

海底隧道钻机控制系统设计-西电模板

各专业完整优秀毕业论文设计图纸 海底隧道钻机控制系统设计 课程设计 时间:2014 .12 .22

一、海底隧道自动控制系统框图 由题已知条件,设N(s)=0,则系统在给定信号R(s)下的闭环传递函数()Φer s 为: 可求得系统在给定信号R(s)时的稳态误差为: K s s s R s s s sE e s s ssr +++==∞→→12)()1(lim )(lim )(2200 当R(s)=0时,在扰动信号N(s)作用下的系统闭环传递函数()Φen s 为: 到此可求得系统在扰动信号N(s)作用下的稳态误差为: () ()200()lim lim 12S ssn S s s sN e sE s s k →→-∞==++ 由(1),(2)两式可得在R(s)和N(s)作用下系统的输出为: 二、接下来根据不同的K 值MATLAB 绘制时域仿真曲线 在单位阶跃输入的N(s),R(s)时有: )1..(..........1211)()(2)(K s s K s s R s E s er +++==Φ)2.(..........121)()(2)(K s s s N s E s en ++-==Φ22111()()()1212K s C s R s N s s s K s s K +=-++++s s N s s R 1)(,1)(==

- 1 - 此时的输入稳态误差和扰动稳态误差为: 在这里我取K 值分别为1,20,60,100,120,150,单位阶跃输入以及单位阶跃扰动下的系统框图和响应分别为(Δ=2): (注:由系统的稳定性和闭环传递函数可知,极点必须位于s 左半平面,故K 值必须大于0) 下面的分析中将输入响应和扰动响应进行分开讨论。 (1)K=1系统的模拟框图为: 在N (s)=0时得到的单位阶跃响应曲线,如下图: K e e ssn ssr 1 )(,0)(-=∞=∞

两相步进电机控制系统设计

综合课程设计 题目两相步进电机 学院计信学院 专业10自动化 班级2班 学生姓名 指导教师文远熔 2012 年12 月28 日

两相步进电机课程设计报告 步进电机是一种进行精确步进运动的机电执行元件,它广泛应用于工业机械的数字控制,为使系统的可靠性、通用性、可维护性以及性价比最优,根据控制系统功能要求及步进电机应用环境,确定了设计系统硬件和软件的功能划分,从而实现了基于8051单片机的四相步进电机的开环控制系统。控制系统通过单片机存储器、I/O 接口、中断、键盘、LED 显示器的扩展、步进电机的环形分频器、驱动及保护电路、人机接口电路、中断系统及复位电路、单电压驱动电路等的设计,实现了四相步进电机的正反转,急停等功能。为实现单片机控制步进电机系统在数控机床上的应用,系统设计了两个外部中断,以实现步进电机在某段时间内的反复正反转功能,也即数控机床的刀架自动进给运动,随着单片机技术的不断发展,单片机在日用电子产品中的应用越来越广泛,自六十年代初期以来,步进电机的应用得到很大的提高。人们用它来驱动时钟和其他采用指针的仪器,打印机、绘图仪,磁盘光盘驱动器、各种自动控制阀、各种工具,还有机器人等机械装置。此外作为执行元件,步进电机是机电一体化的关键产品之一,被广泛应用在各种自动化控制系统中,随着微电子和计算机技术的发展,它的需要量与日俱增,在各个国民经济领域都有应用。步进电机是机电数字控制系统中常用的执行元件,由于其精度高、体积小、控制方便灵活,因此在智能仪表和位置控制中得到了广泛的应用,大规模集成电路的发展以及单片机技术的迅速普及,为设计功能强,价格低的步进电机控制驱动器提供了先进的技术和充足的资源。 关键字: 步进电机单片机

立体仓库堆垛机的设计

立体车库堆垛机机械结构设计 学生姓名:郑超李昭白鹤鹏封二佳 学院:机械工程学院 专业年级:机械10级 指导教师:单根立 2014年 1 月6 日

目录 摘要 (4) 前言 (5) 第一章绪论 (4) 1.1自动化立体仓库的起源与发展 (6) 1.2 课题的提出及主要任务 (7) 1.2.1 课题的提出 (7) 1.2.2 课题的主要任务 (7) 第二章堆垛机的分类 (8) 2.1 巷道式堆垛机的分类 (8) 2.1.1 巷道式单立柱堆垛机 (8) 2.1.2 双立柱巷道堆垛起重机 (9) 2.1.3 桥式堆垛起重机 (9) 第三章堆垛机门架的结构设计计算 (10) 3.1堆垛机各个部分运动速度计算 (10) 3.1.1行走速度 (10) 3.1.2升降速度 (11) 3.1.3货叉的伸缩速度 (11) 3.2额定数据表 (12) 第四章减速电机的选取 (12) 4.1行走装置的减速电机的选取 (12) 4.2升降电动机的选用 (13)

4.3伸缩运动电机的选用 (14) 第五章堆垛机伸缩货叉机构的校核 (14) 5.1叉架的受力分析计算 (15) 第六章结论 (16) 致谢 (17) 参考文献 (18)

摘要 自动化立体仓库,也叫自动化立体仓储,利用立体仓库设备可实现仓库高层合理化,存取自动化,操作简便化。堆垛机是整个自动化立体仓库的核心设备,通过手动操作,半自动操作和全自动操作实现把货物从一处搬运到另一处。它由机架(上横梁,下横梁,立柱),水平行走机构,载货台,货叉及电气控制系统构成。 本文主要是通过对一套实验室教学装置为基础,以实际为参考而建立的虚拟自动化立体仓库堆垛机系统,作者主要对堆垛机的分类进行简要的介绍,对堆垛机的各个部分的结构进行详细的研究首先对不同堆垛机简介和描述,然后通过巷道堆垛机进行整体分析并设计各个部分的结构,完成对各个部分的受力校核。 关键字:立体仓库堆垛机结构受力校核

真空镀膜机操作指导

真空镀膜实验指导 真空镀膜常用的方法有蒸发镀膜、射频溅射镀膜和离子镀膜等。本实验通过介绍蒸发镀膜原理,掌握蒸发镀膜的操作方法。真空镀膜技术在电真空、无线电、光学、固体物理、原子能和空间技术中有广泛的应用。 1真空镀膜原理: 1.1蒸发镀膜机理 蒸发镀膜是真空镀膜的一种,它是在高真空条件下将物质加热到沸腾状态,沸腾出来的原子或分子溅落在固体材料表面,形成一层或多层膜的方法。凡是在沸腾温度下不分解或不变性的物质都可以用此法蒸镀成膜。 蒸发原子的成膜过程比较复杂,这里只能粗略描述如下:溅落原子首先被固体表面吸附,当表面温度低于某一临界温度时,原子开始“核化”——部分原子凝聚成团,出现若干“岛”,然后这些“岛”逐渐吸收周围的原子而长大,众多的“岛”相互连接成一片而成一块连续的膜。蒸发镀膜的条件主要有两个,分别介绍如下: 1.2高真空 我们希望蒸发出来的原子或分子不要受空气分子的阻挡而直接溅落到固体的表面,这样,蒸发镀膜的速度高,成膜质量也好。相反,如果真空度低,有大量的空气分子存在,一方面,蒸发出来的原子或分子与空气分子碰撞,阻碍了膜材分子的扩散,降低了蒸镀的速度,影响了膜的均匀性,另一方面,空气的导热使得膜材的温度不能很快地升高,必然要加大加热功率;更有甚者,空气的存在可能使膜材的某些成分氧化,引起成分变性;在连接着抽气机的情况下,若不能很快完成镀膜,膜料将被抽走。因此,蒸发镀膜需要在高真空条件下进行。当然,真空度也不需要绝对地高。事实上,只要分子的平均自由程大于膜材到基底的距离即可。如果膜材到基底的距离为10 --20cm,根据自由程公式 (d是分子的直径,n是分子数密度) 不难估计真空度在Pa以上就可以满足要求。 1.3材料洁净 材料的洁净包括膜料的洁净和基底材料的洁净。这一要求似乎是不言而喻的。如果材料中混有颗粒状或纤维状的杂质,将直接影响膜的均匀性和牢固度;如果混有可融的化学成分,将影响膜的物理性质,如亮度、表面张力、电导率等等。所以,膜材和基底的清洗工作必须认真对待。 2真空技术

直流电机控制系统设计.

XX大学 课程设计 (论文) 题目直流电机控制系统设计 班级 学号 学生姓名

指导教师 航空航天大学 课程设计任务书 课程名称专业基础课程设计 院(系)自动化学院专业测控技术与仪器 班级学号 课程设计题目直流电机控制系统设计 课程设计时间: 2012年7月9日至2012年7月20日 课程设计的容及要求: 1.容 利用51单片机开发板设计并制作一个直流电机控制系统。系统能够实时控制电机的正转、反转、启动、停止、加速、减速等。 2.要求 (1)掌握直流电机的工作原理及编程方法。 (2)掌握直流电机驱动电路的设计方法。 (3)制定设计方案,绘制系统工作框图,给出系统电路原理图。 (4)用汇编或C语言进行程序设计与调试。 (5)完成系统硬件电路的设计。 (6)撰写一篇7000字左右的课程设计报告。

指导教师年月日 负责教师年月日 学生签字年月日 目录 0 前言1 1 总体方案设计2 1.1 系统方案2 1.2 系统构成2 1.3 电路工作原理2 1.4 方案选择3 2 硬件电路设计3 2.1 系统分析与硬件设计3 2.2 单片机AT89C523 2.3 复位电路和时钟电路4 2.4 直流电机驱动电路设计4 2.5 键盘电路设计4 3软件设计5 3.1 应用软件的编制和调试5 3.2 程序总体设计5

3.3 仿真图形7 4 调试分析9 5 结论及进一步设想9参考文献10 课设体会11 附录1 电路原理图12附录2 程序清单13

直流电机调速系统设计 XXXXX大学自动化学院 摘要:本篇论文介绍了基于单片机的直流电机PWN调速的基本办法,直流电机调速的相关知识以及PWM调速的基本原理和实现方法。重点介绍了基于MCS-51单片机的用软件产生PWM信号以及信号占空比调节的方法。对于直流电机速度控制系统的实现提供了一种有效的途径。 直流电动机具有优良的调速特性,调速平滑,方便,调速围广,过载能力大,能承受频繁的冲击负载,可实现频繁的无级快速起动、制动和反转;能满足生产过程中自动化系统各种不同的特殊运行要求。电动机调速系统采用微机实现自动控制,是电气传动发展的主要方向之一。采用微机控制后,整个调速系统体积小,结构简单、可靠性高、操作维护方便,电动机稳态运转时转速精度可达到较高水平,静动态各项指标均能较好地满足工业生产中高性能电气传动的要求。 关键词:单片机最小系统;PWM ;直流电机调速; 0 前言 电动机作为最主要的机电能量转换装置,其应用围已遍及国民经济的各个领域和人们的日常生活。无论是在工农业生产,交通运输,国防,航空航天,医疗卫生,商务和办公设备中,还是在日常生活的家用电器和消费电子产品(如电冰箱,空调,DVD等)中,都大量使用着各种各样的电动机。据资料显示,在所有动力资源中,百分之九十以上来自电动机。同样,我国生产的电能中有百分之六十是用于电动机的。电动机与人的生活息息相关,密不可分。电气时代,电动机的调速控制一般采用模拟法,对电动机的简单控制应用比较多。简单控制是指对电动机进行启动,制动,正反转控制和顺序控制。然而近年来,随着技术的发展和进步,以及市场对产品功能和性能的要求不断提高,直流电动机的应用更加广泛,尤其是在智能机器人中的应用。直流电动机的起动和调速性能、过载能力强等特点显得十分重要,为了能够适应发展的要求,单闭环直流电动机的调速控制系统得到了很大的发展。而作为单片嵌入式系统的核心—单片机,正朝着多功能、多选择、高速度、低功耗、低价格、大存储容量和强I/O功能等方向发展。随着计算机档次的不断提高,功能的不断完善,单片机已越来越广泛地应用在各种领域的控制、自动化、智能化等方面,特别是在直流电动机的调速控制系统中。这是因为单片机具有很多优点:体积小,功能全,抗干扰能力强,可靠性高,结构合理,指令丰富,控制功能强,造价低等。所以选用单片机作为控制系统的核心以提高整个系统的可靠性和可行性。

无刷直流电机控制系统的设计

1引言无刷直流电机最本质的特征是没有机械换向器和电刷所构成的机械接触式换向机构。现在,无刷直流电机定义有俩种:一种是方波/梯形波直流电机才可以被称为无刷直流电机,而正弦波直流电机则被认为是永磁同步电机。另一种是方波/梯形波直流电机和正弦波直流电机都是无刷直流电机。国际电器制造业协会在1987年将无刷直流电机定义为“一种转子为永磁体,带转子位置信号,通过电子换相控制的自同步旋转电机”,其换相电路可以是独立的或集成于电机本体上的。本次设计采用第一种定义,把具有方波/梯形波无刷直流电机称为无刷直流电机。从20世纪90年代开始,由于人们生活水平的不断提高和现代化生产、办公自动化的发展,家用电器、工业机器人等设备都向着高效率化、小型化及高智能化发展,电机作为设备的重要组成部分,必须具有精度高、速度快、效率高等优点,因此无刷直流电机的应用也发展迅速[1]。 1.1 无刷直流电机的发展概况 无刷直流电动机是由有刷直流电动机的基础上发展过来的。 19世纪40年代,第一台直流电动机研制成功,经过70多年不断的发展,直流电机进入成熟阶段,并且运用广泛。 1955年,美国的D.Harrison申请了用晶体管换相线路代替有刷直流电动机的机械电刷的专利,形成了现代无刷直流电动机的雏形。 在20世纪60年代初,霍尔元件等位置传感器和电子换向线路的发现,标志着真正的无刷直流电机的出现。 20世纪70年代初,德国人Blaschke提出矢量控制理论,无刷直流电机的性能控制水平得到进一步的提高,极大地推动了电机在高性能领域的应用。 1987年,在北京举办的德国金属加工设备展览会上,西门子和博世两公司展出了永磁自同步伺服系统和驱动器,引起了我国有关学者的注意,自此我国开始了研制和开发电机控制系统和驱动的热潮。目前,我国无刷直流电机的系列产品越来越多,形成了生产规模。 无刷直流电动机的发展主要取决于电子电力技术的发展,无刷直流电机发展的初期,由于大功率开关器件的发展处于初级阶段,性能差,价格贵,而且受永磁材料和驱动控制技术的约束,这让无刷直流电动机问世以后的很长一段时间内,都停

海底隧道钻机控制系统设计

海底隧道钻机控制系统设计 (此文档为word格式,下载后你可任意修改编辑)一、海底隧道自动控制系统框图

由题已知条件,设N(s)=0,则系统在给定信号R(s)下的闭环传递函数()Φer s 为: 可求得系统在给定信号R(s)时的稳态误差为: K s s s R s s s sE e s s ssr +++==∞→→12) ()1(lim )(lim )(2 200 当R(s)=0时,在扰动信号N(s)作用下的系统闭环传递函数()Φen s 为: 到此可求得系统在扰动信号N(s)作用下的稳态误差为: () ()20 ()lim lim 12S ssn S s s sN e sE s s k →→-∞==++ 由(1),(2)两式可得在R(s)和N(s)作用下系统的输出为: 二、接下来根据不同的K 值MATLAB 绘制时域仿真曲线 在单位阶跃输入的N(s),R(s)时有: 此时的输入稳态误差和扰动稳态误差为: ) 1..(..........1211)()(2)(K s s K s s R s E s er +++==Φ) 2.( (121) )()(2)(K s s s N s E s en ++-==Φ22111 ()()() 1212K s C s R s N s s s K s s K +=-++++s s N s s R 1)(,1)(= =e e ssn ssr 1 )(,0)(- =∞=∞

在这里我取K值分别为1,20,60,100,120,150,单位阶跃输入以及单位阶跃扰动下的系统框图和响应分别为(Δ=2): (注:由系统的稳定性和闭环传递函数可知,极点必须位于s左半平面,故K值必须大于0) 下面的分析中将输入响应和扰动响应进行分开讨论。 (1)K=1系统的模拟框图为: 在N(s)=0时得到的单位阶跃响应曲线,如下图:

基于PLC的立体仓库堆垛机控制系统设计毕业论文

基于PLC的立体仓库堆垛机控制系统 设计毕业论文 目录 1 绪论 (2) 1.1 自动化立体仓库的概述 (2) 1.2 堆垛机概述 (2) 1.3 本设计主要研究容 (3) 1.4 堆垛机技术的研究现状 (4) 2 系统总体设计 (4) 2.1 控制技术要求和系统总体设计 (4) 2.2 位置定位 (5) 2.3 堆垛机的控制方式 (7) 3 硬件设计 (7) 3.1 硬件设计控制原理分析 (7) 3.2 硬件设计PLC选型及其资源配置 (8) 3.3 元器件的选型 (9) 4软件设计 (19) 4.1控制系统PLC程序流程 (19) 4.2 PLC的I/O资源配置 (20) 4.3控制系统软件设计及其程序说明 (21) 5 系统调试 (26)

5.1 硬件部分的调试 (26) 5.2 软件部分的调试 (26) 6总结 (27) 参考文献 (28) 附录 (29) 致谢 (33) 1 绪论 1.1 自动化立体仓库的概述 在现代物流系统中,自动化立体仓库是一个重要的组成部分,它是一种新型的仓储技术。自动化立体仓库又称为自动存储/检索系统(Automated Storage &Retrieval System,AS/RS)。它是物料搬运和仓储科学中的一门综合科学技术工程。它以高层货架为主要标志,配以成套的先进搬运设备,以先进的计算机控制技术为主要手段,由此组成高频率、大容量的科学存储,以适应现代化生产、物资交流和仓储的需求。 1.2 堆垛机概述 堆垛机是自动化立体仓库系统的重要组成部分,它是整个系统的执行部件,存货时将货物从出入货台准确的存放到货位里,取货时将货物从货位中取回到出入货台。无论何种类型的堆垛机,一般都由水平行走机构、起升机构、载货台及货叉机构、机架和电气设备等基本部分组成。它是在所谓高层、高速、高密度储藏的概念下的产物。尽管各厂家各有独创,结构形式有些差异,但可以说小异,所有的堆垛机都不外乎由机架、载货台、伸缩货叉、轨道和控制系统等部分组成。 1.2.1 堆垛机的发展 初期的立体仓库使用的堆垛机以桥式起重机为基础,这种堆垛机是从起重机的大梁上悬挂一个门架,利用门架的上下和旋转来搬运货物。1960年左右在美国出现了巷道式堆垛机,随后巷道式堆垛机逐渐替代了受重量和跨度限制的桥式堆垛机。1967年日本安装了高度10~15米的高层堆垛机,1969年出现了联机全自动化仓库,我国是在上世纪70年代初期开始研究采用巷道式堆垛机的立体仓

直流电机控制系统设计范本

直流电机控制系统 设计

XX大学 课程设计 (论文)题目直流电机控制系统设计 班级 学号 学生姓名 指导教师

沈阳航空航天大学 课程设计任务书 课程名称专业基础课程设计 院(系)自动化学院专业测控技术与仪器 班级学号姓名 课程设计题目直流电机控制系统设计 课程设计时间: 7 月 9 日至 7 月 20 日 课程设计的内容及要求: 1.内容 利用51单片机开发板设计并制作一个直流电机控制系统。系统能够实时控制电机的正转、反转、启动、停止、加速、减速等。 2.要求 (1)掌握直流电机的工作原理及编程方法。 (2)掌握直流电机驱动电路的设计方法。 (3)制定设计方案,绘制系统工作框图,给出系统电路原理图。 (4)用汇编或C语言进行程序设计与调试。 (5)完成系统硬件电路的设计。 (6)撰写一篇7000字左右的课程设计报告。

指导教师年月日 负责教师年月日 学生签字年月日 目录 0 前言...................................................................................... 错误!未定义书签。 1 总体方案设计 ...................................................................... 错误!未定义书签。 1.1 系统方案 ...................................................................... 错误!未定义书签。 1.2 系统构成 ...................................................................... 错误!未定义书签。 1.3 电路工作原理............................................................... 错误!未定义书签。 1.4 方案选择 ...................................................................... 错误!未定义书签。 2 硬件电路设计 ...................................................................... 错误!未定义书签。 2.1 系统分析与硬件设计................................................... 错误!未定义书签。 2.2 单片机AT89C52............................................................ 错误!未定义书签。 2.3 复位电路和时钟电路................................................... 错误!未定义书签。 2.4 直流电机驱动电路设计 ............................................... 错误!未定义书签。 2.5 键盘电路设计............................................................... 错误!未定义书签。 3 软件设计 ............................................................................ 错误!未定义书签。 3.1 应用软件的编制和调试 ............................................... 错误!未定义书签。 3.2 程序总体设计............................................................... 错误!未定义书签。 3.3 仿真图形 ...................................................................... 错误!未定义书签。 4 调试分析 .............................................................................. 错误!未定义书签。

自动化立体仓库堆垛机设计开题报告

自动化立体仓库堆垛机设计开题报告

燕山大学 本科毕业设计(论文)开题报告 课题名称:自动化立体仓库堆 垛机设计 学院(系):里仁学院 年级专业:08级机电2班 学生姓名:张仕进 指导教师:边辉 完成日期:2011年3月22日

一、综述本课题国内外研究动态,说明选题的依据和意义 随着科技的进步,社会的发展,物流技术的应用日益广泛,以自动化立体仓库为代表的现代物流技术得到了长足的发展。 自动化立体仓库是指在不直接进行人工处理的情况下,自动地完成物品仓储和取出的系统,它以高层立体货架为主题,以堆垛机为首的搬运设备为基础,是集自动控制技术、通信技术、机电技术于一体的高效率,大容量储存机构。 堆垛机则是随着立体仓库出现并发展起来的专用起重机,是立体仓库最重要的起重运输设备,可大大提高空间面积和空间利用率,是自动化仓库的主要设备,是代表立体仓库的特征标志。 早起的堆垛机是在桥式起重机基础上发展起来,通过在起重车上悬挂一门架,利用货叉在立柱上运动旋转运输货物,称为桥式堆垛机。1960年左右在美国出现了巷道式堆垛机,这种堆垛机是在地面的导轨上行走,利用货架上部的导轨防止倾倒,或者相反,在上部导轨上行走,利用地面导轨防止倾倒随着立体仓库的发展,巷道式堆垛机逐渐替代了桥式堆垛机。随着现代社会计算机技术和微电子技术的日益发展,堆垛机的结构性能也有了较大的改变,承载能力大幅度加强,自动化性能更加提高,应用更为广泛。 自动化立体仓库的使用能够产生巨大的经济效益和社会效益。通过高层化货架储存,合理的使用了存储空间,提高了空间利用率,使存储空间向高空发展;通过自动化技术,加速了了存储的处理和运行速度,提高了劳动生产率,降低了工作人员的劳动强度,减少了劳动力;自动化立体仓库结合计算机的管理,能够充分保证先进先出的合理作业,防止物品的腐蚀,老化,使作业效率明显提高;结合通过计算机联网和控制,能够准确的对各种存储物品信息进行管理,有效地提高了仓库的管理能力和存储能力,便于清点和盘库,合理安排库存,节约资金;由于自动化的应用,使各种危害人体的有毒,易爆,低温,黑暗,污染等特殊物品能够在保证人

真空镀膜机电气控制系统大学生大学生大学 大学毕业设计

毕业设计 题目真空镀膜机电气控制系统 摘要 本文所研究的真空镀膜机是一种蒸发式卷绕系统的镀膜机,主要应用于PET、OPP、BOPP等塑料薄膜上蒸镀金属膜,也可应用于生产镀铝、镀锌铝或镀银锌铝电容膜。其总体结构主要由真空系统、卷绕系统、蒸镀系统及电控系统组成,通过PLC来实现对真空系统的各种泵、阀门等开关量的控制,通过三菱触摸屏实现后台管理、各种工艺参数的设定及控制功能,自动化程度较高,有利于生产效率的提高。 该电气控制系统主控单元是采用三菱PLC的FX系列的通过三菱触摸屏设置对纸张力、电机速度、蒸发舟的状态和蒸发量等工艺要求参数的控制,同时具有故障报警、保护路线的功能,由RS232/485串行接口、A/D转换模块和控制器等实现各种参数的传输、信息处理、检测控制功能。 文中首先对镀膜机的真空系统和整个蒸镀工艺过程进行了分析;并建立了卷绕系统中放卷、收卷的张力控制模型,找出影响张力的相关因素,并采用了模糊自适应整定PID控制算法作为卷绕系统张力控制策略,结果显示比传统的PID 控制效果好,适应性强;同时完成了控制系统软、硬件结构的论证分析和设计,设计过程中采用三菱PLC仿真软件对电气控制系统进行了模拟仿真,并实现上位机与PLC的通讯功能,仿真结果显示,该真空镀膜机自动化程度高,模拟蒸镀效果好,保证了镀膜质量。 关键词:真空镀膜机;可编程控制器;张力控制;人机界面;AutoCAD2007

ABSTRACT In this paper, Vacuum coating machine, which is developed by this paper is an evaporation-type winding coating machine, mainly used in PET, OPP, BOPP and other plastic film evaporated metallic film, also can be used in the production of aluminum, galvanized aluminum or silver plated zinc aluminum capacitor film. The composition of its overall structure is mainly composed of a vacuum system, winding system, evaporation system and electric control system; On the one hand, through the PLC to control pump of the vacuum system ,valve switch and so on, on the other hand, through Mitsubishi touch screen to conduct back-stage management and control and set all kinds of Process parameters, this will improve the degree of automation and Is conducive to the improvement of production efficiency. The electric control system of main control unit is controlled by Mitsubishi FX series, through Mitsubishi touch screen to set and control evaporation process on paper tension, motor speed, evaporation boat required parameters, besides fault alarm, protection route function. By RS232/485 serial interface and A/D conversion module controller to achieve various parameters transmission, information processing, detection and control function. Firstly, the vacuum system for coating machine and the evaporation process are analyzed in this paper; and the winding system of roll and the roll tension control model is established, then finding out the relevant factors that affect tension, and adopt the fuzzy adaptive tuning PID control algorithm as the winding tension control system, the results showed that compared with the traditional PID control effect, the fuzzy adaptive tuning PID control algorithm is better and have strong adaptability; at the same time completing the proof analysis and design of the control system of soft, hardware structure, the design process of electrical control system is simulated by using PLC simulation software and Mitsubishi, realize the communication between

直流电机控制系统设计(1)

湖南工程学院课程设计《DSP原理及应用》 题目:直流电机控制系统设计 专业: 班级: 姓名: 学号: 指导教师: 2015年5 月19 日

摘要 直流电动机具有优良的调速特性,调速平滑,方便,调速范围广,过载能力大,能承受频繁的冲击负载,可实现频繁的无级快速起动、制动和反转;能满足生产过程中自动化系统各种不同的特殊运行要求。电动机调速系统采用微机实现自动控制,是电气传动发展的主要方向之一。采用微机控制后,整个调速系统体积小,结构简单、可靠性高、操作维护方便,电动机稳态运转时转速精度可达到较高水平,静动态各项指标均能较好地满足工业生产中高性能电气传动的要求。 本篇论文介绍了基于单片机的直流电机PWN调速的基本办法,直流电机调速的相关知识以及PWM调速的基本原理和实现方法。重点介绍了基于TMS320LF2407单片机的用软件产生PWM信号以及信号占空比调节的方法。对于直流电机速度控制系统的实现提供了一种有效的途径。 关键词:单片机最小系统;PWM ;直流电机调速,TMS320LF2407;

前言 电动机作为最主要的机电能量转换装置,其应用范围已遍及国民经济的各个领域和人们的日常生活。无论是在工农业生产,交通运输,国防,航空航天,医疗卫生,商务和办公设备中,还是在日常生活的家用电器和消费电子产品(如电冰箱,空调,DVD等)中,都大量使用着各种各样的电动机。据资料显示,在所有动力资源中,百分之九十以上来自电动机。同样,我国生产的电能中有百分之六十是用于电动机的。电动机与人的生活息息相关,密不可分。电气时代,电动机的调速控制一般采用模拟法,对电动机的简单控制应用比较多。简单控制是指对电动机进行启动,制动,正反转控制和顺序控制。然而近年来,随着技术的发展和进步,以及市场对产品功能和性能的要求不断提高,直流电动机的应用更加广泛,尤其是在智能机器人中的应用。直流电动机的起动和调速性能、过载能力强等特点显得十分重要,为了能够适应发展的要求,单闭环直流电动机的调速控制系统得到了很大的发展。而作为单片嵌入式系统的核心—单片机,正朝着多功能、多选择、高速度、低功耗、低价格、大存储容量和强I/O功能等方向发展。随着计算机档次的不断提高,功能的不断完善,单片机已越来越广泛地应用在各种领域的控制、自动化、智能化等方面,特别是在直流电动机的调速控制系统中。这是因为单片机具有很多优点:体积小,功能全,抗干扰能力强,可靠性高,结构合理,指令丰富,控制功能强,造价低等。所以选用单片机作为控制系统的核心以

相关主题
文本预览
相关文档 最新文档