当前位置:文档之家› PT100测温设计

PT100测温设计

PT100测温设计
PT100测温设计

传感与检测技术

题目

学院

专业

班级

姓名

指导教师

年月日

目录

1、设计任务与要求 (1)

2、方案设计 (2)

3、温度传感器的选择 (3)

4、PT100热电阻工作原理介绍 (4)

5、单元电路与参数计算 (6)

5.1 PT100电压产生电路 (6)

5.2仪表放大器 (7)

5.3模数转换器 (9)

5.4 LCD1602液晶显示 (10)

5.5 AT89C52单片机电路 (13)

6、软件设计 (14)

6.1 程序流程图 (14)

6.2 控制程序 (14)

7、总的原理图 (20)

8、感想与体会 (21)

1、设计任务与要求

《传感与检测技术》大作业的基本要求

1.设计一个测温系统,要求测温范围200~500℃,分辨率为1℃。2.画出系统结构框图,说明各电路的作用,系统实现的功能

3.选择一种合适的温度传感器,说明选择理由。

3.说明该温度传感器的工作原理,推导输入输出关系式。

4.设计模拟信号调理电路,推导温度输入和调理电路输出的表达式;5.选择A/D转换器,计算放大器的放大倍数;

6.设计人机接口电路,(参数如何设置?数据如何显示?)7.绘制基于单片机的温度测量系统的硬件电路图

8.所采用测量数据的基本处理算法的流程图以及程序设计。

9.证明所设计的系统能够达到测温范围和分辨率的要求。

2、方案设计

总的设计方案叙述如下:

不同的温度使PT100产生不同电阻值,接上恒流源产生电压值,经过运算放大器组成的仪表放大器电路,输出与放大倍数有关的相应0到2.8V 的压降,再由TLC1543模数转换器采集并送给AT89C52单片机处理数据并显示相应的温度值到LCD1602液晶屏上。

不同的温度产生不同的电阻值,且基本上呈线性规律。所以可以直接把该电阻通过直流源产生的电压经放大后送到单片机进行处理并显示。

设计框图如下:

图1 系统设计框图

3、温度传感器的选择

常见的温度传感器有PT100、AD590、热电偶、DS18B20等。AD590适用于150℃以下温度;DS18B20测温范围为-55℃~+125℃;热电偶测温范围大为-200℃~1300℃,特殊情况下为270℃~2800℃;PT100为热电阻传感器,测量范围-200℃~+850℃。

铂热电阻温度传感器pt100测温范围-200~850℃。热电偶K型(镍铬-镍铝(硅))测温范围0~1100℃,短时间可测1300℃。它们都是常用的温度传感器,作用一样。

铂热电阻测温精度高,它可以作为国际温标梯点温度之下的标准温度计。它的阻值与温度变化呈线形关系。不能测量高温。测点温困难,使用中必须由外电流供电。

热电偶结构简单制造容易,测温精度高,温度范围广。具有良好的复现性和稳定性,因此国际实用温标规定它是热力学温标的基准仪器。它便于远距离测量,因为输出的是电势信号,可以不需要外加电源,使用方便。但在低温领域中产生的热电势小,对仪表要求就高。其输出电压与温度是函数关系。热电偶使用中需要对冷端进行恒温处理或温度补偿。

根据实验要求,测量200℃到500℃的低温,用PT100热电阻效果较好,所以我选择PT100作为温度传感器。

4、PT100热电阻工作原理介绍

热电阻的分度表如下:

PT100

5、单元电路与参数计算5.1 PT100电压产生电路

图2 PT100电压产生电路

给PT100并接一个0.1mA恒流源,在热电阻两端会产生和电阻线性相关的电压,由PT100的工作原理可知,此电压也和温度近似成线性关系。

5.2仪表放大器

用分离元件构建仪表放大器需要花费很多的时间和精力,而采用

集成仪表放大器或差分放大器则是一种简便而又可行的替换方案,另外,采用如下仪表放大器,电路放大差模信号,抑制共模信号,减少输入噪声的影响。

图3 仪用放大器典型结构

1、根据运放的虚短、虚断性质,且R1=R2,则有

Ui2 – Ui1 = Rg(Uo2 – Uo1)/(2R1 + Rg) 即: Uo2 – Uo1 = (1 + 2R1/Rg )(Ui2 – Ui1)

令R5=Rf ,R3=R4=R ,所以输出电压

V o = -Rf(Uo2 – Uo1)/R = -Rf (1 + 2R1/Rg )(Ui2 – Ui1) / R 设Uid = Ui2 – Ui1,为仪表放大器输入压降,即:

V o = -Rf (1 + 2R1/Rg )Uid / R (1)

A0 = V o / Uid = -Rf (1 + 2R1/Rg )/ R ············(2) 2、由热电偶分度表知200到500摄氏度压降变化范围是175.86Ω 到280.98Ω ,而输出的范围是17.6mV 到28.1mV ,用仪用放大器将电压放大100倍进行处理。 这里取 Rf = 200 K Ω R1 = 20K Ω Rg = 10K Ω

Ui1

Ui2

Rf

Uo1

Uo2

R = 10KΩ

由上述表达式(2)可以知道,放大倍数

A0 = V o / Uid = -Rf(1 + 2R1/R2)/ R

= 100 倍

所以,得到电路图如图4。

图4中PT100两端电压为+20.2mV,而经过仿真仪用放大器输出电压为2.02V,所以知道理论数据与仿真所得数据相等,方案可行

图4 仪用放大器电路原理图

5.3模数转换器

出于实验要求分辨率的考虑,本设计采用的模数转换器为性价比

较高的TLC1543,精度为10位从而可实现分辨率为1℃。模拟电压输入到TLC1543中进行AD转换,数字量串行输入到单片机中,单片机经过处理由数字电压值计算出相应的温度值显示到液晶屏上去。

系统设计中,我没有加偏置电路,PT100从0℃到500℃对应的电阻产生的电压经放大器放大后为0到2.8V,所以将TLC1543的

+REF端设为+2.8V,便于AD转换后单片机的处理。则量化单位

q=2.8/1024,转换后的数字量tmp=U/q,其中U为TLC1543输入端的模拟电压,U=I*Rpt*Av,其中I为恒流源电流,Rpt为PT100的阻值,Av为仪用放大器的放大倍数。

图5 ADC的硬件连接原理图

5.4 LCD1602液晶显示

本设计采用LCD1602液晶作为显示部分,LCD1602的操作时序如

下图,TLC1543采样得到的0到2.8V,转化为数字信号二进制数0到1024,而2.8V对应的PT100产生的电动势是:

Ut = U / Av= 2.8V / 100 = 28 mV

根据PT100热电阻分度表知其相应温度是500摄氏度,然后通过液晶屏显示出来,由图7看出仿真温度为493.8℃,存在一定的误差。

图6 LCD1602写时序

图7 LCD1602硬件连接图

5.5 AT89C52单片机电路

AT89C52单片机电路包含晶振和复位电路,并通过内部程序和输入输出接口控制TLC1543进行AD转换和液晶屏显示温度。电路如下图所示:

图8 单片机电路

double chuli(uint tmp)

{ double q,p,t;

q=2.8/1024;//计算量化单位

p=q*tmp/100/0.0001;//由单片机得到的数字量处理为PT100对应的电阻

t=2.849*p-303.2;//由电阻值处理成温度值

return(t);

}

以上为单片机进行温度处理的函数,其中t=2.849*p-303.2是用MATLAB对200℃到500℃时温度与电阻关系线性化的结果。

6、软件设计

6.1 程序流程图

6.2 控制程序

#include

#include "intrins.h" //_nop_();延时函数用#define uchar unsigned char

#define uint unsigned int

uchar code table[]="0123456789.c";

sbit lcden=P2^2;

sbit lcdrs=P2^0;

sbit so=P1^0;

sbit address=P1^1;

sbit _cs=P1^2;

sbit clk=P1^3;

sbit eoc=P1^4;

uchar m,port=0;

uint qian,bai,shi,ge,xiaoshu; uchar port;

uint read1543(uchar);

void Disp_temp(double );

void init();

void delay(uint );

double chuli(uint );

void main()

{

uint temp;

double result;

init();

while(1)

{

temp=read1543(port);

delay(200);

result=chuli(temp);

Disp_temp(result);

delay(200);

}

}

double chuli(uint tmp)

{

double q,p,t;

q=2.8/1024;

p=q*tmp/100/0.0001;

t=2.849*p-303.2;

return(t);

}

void init()

{

void write_com(uchar );

lcden=0;

write_com(0x38);

write_com(0x0c);

write_com(0x06);

write_com(0x01);

}

uint read1543(uchar chn1) //从TLC1543读取采样值,形参port是采样的通道号

{

uint ad;

uchar i;

uchar add;

eoc=1;

clk=0;

_cs=0;

add=chn1;

add<<=4;

for (i=0;i<4;i++) //把通道号打入1543 {

address=(bit)(add&0x80);

clk=1;

clk=0;

add<<=1;

}

for (i=0;i<6;i++) //填充6个CLOCK {

clk=1;

clk=0;

}

_cs=1;

while(!eoc);

_nop_();_nop_();

ad=0;

_cs=0; //等待AD转换

for (i=0;i<10;i++) //取D9,D8

{

clk=1;

ad<<=1;

m=so;

ad+=m;

clk=0;

}

_cs=1;

return (ad);

}

void Disp_temp(double tmp)//温度显示{

void write_data(uchar );

void delay(uint );

double wendu=tmp;

bai=(uint)wendu%1000/100;

shi=(uint)wendu%100/10; //取各位数字 ge=(uint)wendu%10;

xiaoshu=(uint)wendu*1000%10;

write_com(0x80);

write_data(table[bai]);

delay(5);

write_data(table[shi]);

delay(5);

write_data(table[ge]);

delay(5);

write_data(table[10]);

delay(5);

write_data(table[xiaoshu]);

delay(5);

write_data(table[11]);

delay(5);

}

PT100温度传感器测量电路

PT100温度传感器测量电路 温度传感器PT100是一种稳定性和线性都比较好的铂丝热电阻传感器,可以工作在 -200℃ 至650℃ 的范围.本电路选择其工作在 -19℃ 至500℃ 范围。 整个电路分为两部分,一是传感器前置放大电路,一是单片机 A/D 转换和显示,控制,软件非线性校正等部分。 前置放大部分原理图如下: 工作原理: 传感器的接入非常简单,从系统的 5V 供电端仅仅通过一支 3K92 的电阻就连接到 PT100 了.这种接法通常会引起严重的非线性问题,但是.由于有了单片机的软件校正作为后盾,因此就简化了传感器的接入方式. 按照 PT100 的参数,其在0℃ 到500℃ 的区间内,电阻值为 100 至280.9Ω,我们按照其串联分压的揭发,使用公式:Vcc/(PT100+3K92)* PT100 = 输出电压(mV),可以计算出其在整百℃时的输出电压,见下面的表格:

单片机的 10 位 A/D 在满度量程下,最大显示为 1023 字,为了得到PT100 传感器输出电压在显示 500 字时的单片机 A/D 转换输入电压,必须对传感器的原始输出电压进行放大,计算公式为:(500/1023 * Vcc)/传感器两端电压( mV/℃ ) ,(Vcc=系统供电=5V),可以得到放大倍数为10.466 。 关于放大倍数的说明:有热心的用户朋友询问,按照 (500/1023 * Vcc)/传感器两端电压不能得到 10.466 的结果,而是得到 11.635的结果。实际上,500 个字的理想值是无法靠电路本身自然得到的,自然得到的数字仅仅为 450 个字,因此,公式中的500℃ 在实际计算时的取值是 450 而不是 500 。450/1023*5/(0.33442-0.12438)≈10.47 。其实,计算的方法有多种,关键是要按照传感器的mV/℃ 为依据而不是以被测温度值为依据,我们看看加上非线性校正系数:10.47*1.1117=11.639499 ,这样,热心朋友的计算结果就吻合了。 运算放大器分为两级,后级固定放大 5 倍(原理图中 12K/3K+1=5),前级放大为:10.465922/5=2.0931844 倍,为了防止调整时的元器件及其他偏差,使用了一只精密微调电位器对放大倍数进行细调,可以保证比较准确地调整到所需要的放大倍数(原理图中 10K/(8K2+Rw)+1)。

pt100温度传感器原理

pt100温度传感器原理 PT100是一个温度传感器,是一种稳定性和线性都比较好的铂丝热电阻传感器,可以工作在-200℃至650℃的范围. 电阻式温度检测器(RTD,Resistance Temperature Detector)是一种物质材料作成的电阻,它会随温度的上升而改变电阻值,如果它随温度的上升而电阻值也跟著上升就称为正电阻係数,如果它随温度的上升而电阻值反而下降就称为负电阻系数。大部分电阻式温度检测器是以金属作成的,其中以白金(Pt)作成的电阻式温度检测器,最为稳定-耐酸碱、不会变质、相当线性...,最受工业界采用。 PT100温度感测器是一种以白金(Pt)作成的电阻式温度检测器,属于正电阻系数,其电阻和温度变化的关系式如下:R=Ro(1+αT)其中α=0.00392,Ro为100Ω(在0℃的电阻值),T为摄氏温度
因此白金作成的电阻式温度检测器,又称为PT100。 1:V o=2.55mA ×100(1+0.00392T)=0.255+T/1000 。 2:量测V o时,不可分出任何电流,否则量测值会不準。电路分析由于一般电源供应较多零件之后,电源是带杂讯的,因此我们使用齐纳二极体作为稳压零件,由于7.2V齐纳二极体的作用,使得1K电阻和5K可变电阻之电压和为6.5V,靠5K可变电阻的调整可决定电晶体的射(集极)极电流,而我们须将集极电流调为 2.55mA,使得量测电压V如箭头所示为0.255+T/1000。其后的非反向放大器,输入电阻几乎无限大,同时又放大10倍,使得运算放大器输出为2.55+T/100。6V齐纳二极体的作用如7.2V 齐纳二极体的作用,我们利用它调出2.55V,因此电压追随器的输出电压V1亦为 2.55V。其后差动放大器之输出为

pt100_测温电路

pt100测温电路:pt100三线制测量电路》是非常优秀的作品,本站提供后大学时代pt100测温电路:pt100三线制测量电路! CPU采用Atmega16,它自带8路10位A/D转换器,转换速度快,精度高,而且不需要外扩任何器件产品特性: 通常使用的铂电阻温度传感器有PT100,电阻温度系数为3.9×10-3/℃,0℃时电阻值为100Ω,电阻变化率为0.3851Ω/℃铂电阻温度传感器精度高,稳定性好,应用温度范围广,是中低温区(-200℃~650℃)最常用的一种温度检测器,不仅广泛应用于工业测温,而且被制成各种标准温度计 按IEC751国际标准,温度系数TCR=0.003851,Pt100(R0=100Ω)、Pt1000(R0=1000Ω)为统一设计型铂电阻 传感器的结构: 两线制: 传感器电阻变化值与连接导线电阻值共同构成传感器的输出值,由于导线电阻带来的附加误差使实际测量值偏高,用于测量精度要求不高的场合,并且导线的长度不宜过长 三线制: 要求引出的三根导线截面积和长度均相同,测量铂电阻的电路一般是不平衡电桥,铂电阻作为电桥的一个桥臂电阻,将导线一根接到电桥的电源端,其余两根分别接到铂电阻所在的桥臂及与其相邻的桥臂上,当桥路平衡时,导线电阻的变化对测量结果没有任何影响,这样就消除了导线线路电阻带来的测量误差,但是必须为全等臂电桥,否则不可能完全消除导线电阻的影响采用三线制会大大减小导线电阻带来的附加误差,工业上一般都采用三线制接法 四线制: 当测量电阻数值很小时,测试线的电阻可能引入明显误差,四线测量用两条附加测试线提供恒定电流,另两条测试线测量未知电阻的电压降,在电压表输入阻抗足够高的条件下,电流几乎不流过电压表,这样就可以精确测量未知电阻上的压降,计算得出电阻值 在桥式电路中,为了减小暖电阻阻值随温度变化对支路电流的影响并限制流过热电阻的电流,组成电桥的两个支路的上电阻通常取暖电阻阻值的几十倍,其值达到10-50K(和桥路供电电压有关),下电阻一般和暖电阻某温度下阻值相同测量时取两者的电位差虽然如此,热电阻阻值随温度变化对支路电流的影响还是会造成输出的非线性,通常需要做一定补偿 如果直接测量阻值,应该采用恒流源给热电阻供电,热电阻阻值变化时支路电流保持恒定,热电阻压降为线性较好的温度函数 放大前应该做滤波处理或者在放大电路中加积分元件 ?怎样判断pt100的好坏,用万用表能测量么? 根据分度表参照当时温度看阻值是否相符 ?通常情况下是这样的,将一个基准电压加在pt100回路上,测量pt100上的电压信号(mv),阻值变化是电压信号自然也变化,再经过运放放大后入入A/D 芯片入行A/D转换,经过程序再将电压信号换算成电阻值,采用查表方式(将电阻值和相对应的温度值做成表格放到芯片rom中)的到温度值 ?一般短距离选用二线制接法,中距离选用三线制接法,要求精度高、近距离选用四线制接法三线制比两线制的好处是可以补偿线路电阻的偏差,和抗干扰不是一个概念三种各自的优缺点有许多说法,不一而足二线制不能消除导线电阻的影响四线制可以消除导线电阻的影响四线制的PT100有两根线是用于测量的,另两根是用于补偿的,四线制的电子物料编码规则PT100有两根线(热电阻两端各一根)是提供电流的,另两根是采集电压的具体用哪种电路应该根据系统要求决定,如果精度要求一般,采用三线是经济、稳定、实用的选择 ?输渗透(3根线)、输出、电源三隔离为四线制,设备在控制室;输入(3根线)、输出、电源三不隔离为三线制,设备在控制室或传感器内;输入(3根线)、(输出、电源共用2根线)三不隔离为二线制,设备在传感器内、为一体化 ?由于微处理器的发展,可对Pt100的非线性进行校正,因此Pt100传感器大都采用四线制测量法(非桥路法),其测量原理 Pt100传感器四线制测量电路 Pt100两端电压U1=ISRtIS为恒流,Rt为Pt100阻值 引线L1、L2存在电阻会影响测量结果,为此,将L1、L2端口处信号输入高输入电阻抗(>1012Ω),差分放大,这样L1、L2中电流≈0,L1、L2电阻可忽略不计,所以有Ui=U1这也消除了引线电阻 ?模拟暖电偶测试 最准的校法就是用电阻箱了,多路也只有一个一个慢慢来暖电偶用毫伏计模拟输出校二次表,毫伏计同样可以测量热电偶这些都不难,难的是建立一个标准的恒定的温场 ?电压和温度的关系一般是非线性的,对于8位单片机还是查表法好 引言 PT100是一种广泛应用的测温元件,在-50℃~600℃范围内具有其他任何温度传感器无可比拟的优势,包括高精度、稳定性好、抗干扰能力强等由于铂热电阻的电阻值与温度成非线性关系,所以本模块需要入行非线性校正,一般的模块采用模拟电路校正,这种校正的精度不高,而且温漂等受干扰的程度也比较大本模块采用

pt100温度传感器原理

ptioo温度传感器原理 PT100是一个温度传感器,是一种稳定性和线性都比较好的铂丝热电阻传感器,可以工作在-200C至650 C的范围. 电阻式温度检测器(RTD,Resistanee Temperature Detector)是一种物质材料作成的电阻,它会随温度的上升而改变电阻值,如果它随温度的上升而电阻值也跟著上升就称为正电阻係数,如果它随温度的上升而电阻值反而下降就称为负电阻系数。大部分电阻式温度检测器是以金属作成的,其中以白金(Pt)作成的电阻式温度检测器,最为稳定—耐酸碱、不会变质、相当线性…,最受工业界采用。 PT100温度感测器是一种以白金(Pt)作成的电阻式温度检测器,属于正电阻系数,其电阻和温度变化的关系式如下:R=Ro(1+a T)其中a =0.00392,R(为100 Q在0C的电阻值),T为摄氏温度<br>因此白金作成的电阻式温度检测器,又称为PT100。 1: Vo=2.55mA Xl00(1+0.00392T)=0.255+T/1000。 2:量测Vo时,不可分出任何电流,否则量测值会不準。电路分析由于一般电源供应较多零件之后,电源是带杂讯的,因此我们使用齐纳二极体作为稳压零件,由于7.2V齐纳二极体的作用,使得1K电阻和5K可变电阻之电压和为6.5V靠5K可变电阻的调整可决定电晶体的射(集极)极电流,而我们须将集极电流调为 2.55mA,使得量测电压V如箭头所示为0.255+T/1000。其后的非反向放大器,输入电阻几乎无限大,同时又放大10倍,使得运算放大器输出为2.55+T/100°6V齐纳二极体的作用如7.2V 齐纳二极体的作用,我们利用它调出2.55V,因此电压追随器的输出电压 V1 亦为2.55V。其后差动放大器之输出为

PT100测温设计

传感与检测技术 题目 学院 专业 班级 姓名 指导教师 年月日

目录 1、设计任务与要求 (1) 2、方案设计 (2) 3、温度传感器的选择 (3) 4、PT100热电阻工作原理介绍 (4) 5、单元电路与参数计算 (6) 5.1 PT100电压产生电路 (6) 5.2仪表放大器 (7) 5.3模数转换器 (9) 5.4 LCD1602液晶显示 (10) 5.5 AT89C52单片机电路 (13) 6、软件设计 (14) 6.1 程序流程图 (14) 6.2 控制程序 (14) 7、总的原理图 (20) 8、感想与体会 (21)

1、设计任务与要求 《传感与检测技术》大作业的基本要求 1.设计一个测温系统,要求测温范围200~500℃,分辨率为1℃。2.画出系统结构框图,说明各电路的作用,系统实现的功能 3.选择一种合适的温度传感器,说明选择理由。 3.说明该温度传感器的工作原理,推导输入输出关系式。 4.设计模拟信号调理电路,推导温度输入和调理电路输出的表达式;5.选择A/D转换器,计算放大器的放大倍数; 6.设计人机接口电路,(参数如何设置?数据如何显示?)7.绘制基于单片机的温度测量系统的硬件电路图 8.所采用测量数据的基本处理算法的流程图以及程序设计。 9.证明所设计的系统能够达到测温范围和分辨率的要求。

2、方案设计 总的设计方案叙述如下: 不同的温度使PT100产生不同电阻值,接上恒流源产生电压值,经过运算放大器组成的仪表放大器电路,输出与放大倍数有关的相应0到2.8V 的压降,再由TLC1543模数转换器采集并送给AT89C52单片机处理数据并显示相应的温度值到LCD1602液晶屏上。 不同的温度产生不同的电阻值,且基本上呈线性规律。所以可以直接把该电阻通过直流源产生的电压经放大后送到单片机进行处理并显示。 设计框图如下: 图1 系统设计框图

推荐使用的热电阻Pt100测温电路

铂电阻温度传感器是利用其电阻和温度成一定函数关系而制成的温度传感器,由于其测量准确度高、测量范围大、复现性和稳定性好等,被广泛用于中温(-200℃~650℃)范围的温度测量中。 PT100是一种广泛应用的测温元件,在-50~600℃℃范围内具有其他任何温度传感器无可比拟的优势,包括高精度、稳定性好、抗干扰能力强等。由于铂电阻的电阻值与温度成非线性关系,所以需要进行非线性校正。校正分为模拟电路校正和微处理器数字化校正,模拟校正有很多现成的电路,其精度不高且易受温漂等干扰因素影响,数字化校正则需要在微处理系统中使用,将Pt电阻的电阻值和温度对应起来后存入EEPROM中,根据电路中实测的AD值以查表方式计算相应温度值。 常用的Pt电阻接法有三线制和两线制,其中三线制接法的优点是将PT100的两侧相等的的导线长度分别加在两侧的桥臂上,使得导线电阻得以消除。常用的采样电路有两种:一为桥式测温电路,一为恒流源式测温电路。其中图1为三线制桥式测温电路,图2为两线制桥式测温电路,图3为恒流源式测温电路。下面分别对桥式电路和恒流源式电路的原理在设计过程中应注意事项进行说明(注:这两个电路本人均有采用及试验,证明可行) 一、桥式测温电路 桥式测温的典型应用电路如图1所示(图1和图2均为桥式电路,分别画出来是为了说明两线制接法和三线制接法的区别)。 测温原理:电路采用TL431和电位器VR1调节产生4.096V的参考电源;采用R1、R2、VR2、Pt100构成测量电桥(其中R1=R2,VR2为100Ω

精密电阻),当Pt100的电阻值和VR2的电阻值不相等时,电桥输出一个mV级的压差信号,这个压差信号经过运放LM324放大后输出期望大小的电压信号,该信号可直接连AD转换芯片。差动放大电路中R3=R4、R5=R6、放大倍数=R5/R3,运放采用单一5V供电。 设计及调试注意点: 1. 同幅度调整R1和R2的电阻值可以改变电桥输出的压差大小; 2. 改变R5/R3的比值即可改变电压信号的放大倍数,以便满足设计者对温度范围的要求 3. 放大电路必须接成负反馈方式,否则放大电路不能正常工作 4. VR2也可为电位器,调节电位器阻值大小可以改变温度的零点设定,例如Pt100的零点温度为0℃,即0℃时电阻为100Ω,当电位器阻值调至109.885Ω时,温度的零点就被设定在了25℃。测量电位器的阻值时须在没有接入电路时调节,这是因为接入电路后测量的电阻值发生了改变。 5. 理论上,运放输出的电压为输入压差信号×放大倍数,但实际在电路工作时测量输出电压与输入压差信号并非这样的关系,压差信号比理论值小很多,实际输出信号为 4.096*(RPt100/(R1+RPt100)- RVR2/(R1+RVR2)) (1) 式中电阻值以电路工作时量取的为准。 6. 电桥的正电源必须接稳定的参考基准,因为如果直接VCC的话,当网压波动造成VCC发生波动时,运放输出的信号也会发生改变,此时再到以VCC未发生波动时建立的温度-电阻表中去查表求值时就不正确

pt100测温电路设计报告

《单片机原理与接口技术》课程设计 学 院: 电气信息学院 题 目: PT100热电阻测温显示 年级专业: 14级测控1班 学 号: 1404200223 学生姓名: 孙鑫 指导教师: 李国平、杨帆

前 言 传感器是能感受规定的被测量并按一定规律转换成可用输出信号的器件或装置,主要用于检测机电一体化系统自身与操作对象、作业环境状态,为有效控制机电一体化系统的运作提供必须的相关信息。随着人类探知领域和空间的拓展,电子信息种类日益繁多,信息传递速度日益加快,信息处理能力日益增强,相应的信息采集——传感技术也将日益发展,传感器也将无所不在。 从20世纪80年代起,逐步在世界范围内掀起一股“传感器热”,各先进工业国都极为重视传感技术和传感器研究、开发和生产。传感技术已成为重要的现代科技领域,传感器及其系统生产已成为重要的新兴行业。 温度是自然界中和人类打交道最多的物理参数之一,无论是在生产实验场所,还是在居住休闲场所,温度的采集或控制都十分频繁和重要,而且,网络化远程采集温度并报警是现代科技发展的一个必然趋势。由于温度不管是从物理量本身还是在实际人们的生活中都有着密切的关系,所以温传感器就会相应产生随着现代电子技术的发展,对温度的测控技术提出了更高的要求。PT100铂热电阻温度传感器具有精度高,稳定性好等优点,测温范围为-200~650℃,使用非常方便,广泛用于电力、石油、化工、建材等行业的过程监控系统中,而且被制成各种标准温度计。

目 录 前言 (2) 第一章绪论 (4) 1.1温度传感器 (4) 1.2 PT100的简介 (5) 1.3 STC12C5410AD的简介 (6) 1.4 HEF4051BT的简介 (8) 1.4 AT24C64的简介 (9) 第二章设计内容 (10) 2.1 制作PCB原理图 (10) 2.3制作电路板 (11) 第三章程序设计 (12) 第四章 调试电路板 (12) 第五章 心得体会 (13) 参考文献 (14)

pt100温度测量电路图(电子发烧友)

PT100与热敏电阻相反,热敏电阻温度越高电阻值越小 pt100温度测量电路,温度传感器PT100是一种稳定性和线性都比较好的铂丝热电阻传感器,可以工作在 -200℃ 至650℃ 的范围.本电路选择其工作在 -19℃ 至500℃ 范围. 整个电路分为两部分,一是传感器前置放大电路,一是单片机 A/D 转换和显示,控制,软件非线性校正等部分. 前置放大部分原理图如下: 工作原理: 传感器的接入非常简单,从系统的 5V 供电端仅仅通过一支 3K92 的电阻就连接到 PT100 了.这种接法通常会引起严重的非线性问题,但是.由于有了单片机的软件校正作为后盾,因此就简化了传感器的接入方式. 按照 PT100 的参数,其在0℃ 到500℃ 的区间内,电阻值为 100 至 280.9Ω,我们按照其串联分压的揭发,使用公式: Vcc/(PT100+3K92)* PT100 = 输出电压(mV),可以计算出其在整百℃时的输出电压,见下面的表格:

单片机的 10 位 A/D 在满度量程下,最大显示为 1023 字,为了得到 PT100 传感器输出电压在显示 500 字时的单片机 A/D 转换输入电压,必须对传感器的原始输出电压进行放大,计算公式为:(500/1023 * Vcc)/传感器两端电压( mV/℃ ) ,(Vcc=系统供电=5V),可以得到放大倍数为 10.466 。 关于放大倍数的说明:有热心的用户朋友询问,按照 (500/1023 * Vcc)/传感器两端电压不能得到 10.466 的结果,而是得到 11.635 的结果。实际上,500 个字的理想值是无法靠电路本身自然得到的,自然得到的数字仅仅为 450 个字,因此,公式中的500℃ 在实际计算时的取值是 450 而不是 500 。450/1023*5/(0.33442-0.12438)≈10.47 。其实,计算的方法有多种,关键是要按照传感器的mV/℃ 为依据而不是以被测温度值为依据,我们看看加上非线性校正系数:10.47*1.1117=11.639499 ,这样,热心朋友的计算结果就吻合了。 运算放大器分为两级,后级固定放大 5 倍(原理图中 12K/3K+1=5),前级放大为:10.465922/5=2.0931844 倍,为了防止调整时的元器件及其他偏差,使用了一只精密微调电位器对放大倍数进行细调,可以保证比较准确地调整到所需要的放大倍数(原理图中 10K/(8K2+Rw)+1)。 通常,在温度测量电路里,都会有一个“调零”和另一个“调满度”电位器,以方便调整传感器在“零度”及“满度”时的正确显示问题。本电路没有采用两只电位器是因为只要“零度”调整准确了,就可以保证整个工作范围的正确显示,当然也包括满度时的最大显示问题了。 那么,电路中对“零度”是如何处理的呢?它是由单片机程序中把这个“零度”数字直接减掉就是了,在整个工作范围内,程序都会自动减掉“零度”值之后再作为有效数值来使用。 当供电电压发生偏差后,是否会引起传感器输入的变化进而影响准确度呢?供电变化后,必然引起流过传感器的电流发生变化,也就会使传感器输出电压发生变化。可是,以此同时,单片机的供电也是在同步地接受到这种供电变化的,当单片机的 A/D 基准使用供电电压时,就意味着测量基准也在同步同方向发生变化,因此,只要参数选择得当,系统供电的变化在 20% 之内时,就不会影响测量的准确度。(通常单片机系统并不允许供电有过大的变化,这不仅仅是在温度测量电路中的要求。)

PT100温度传感器测温详解

一种精密的热电阻测温方法 摘要: 本文介绍了一种采用恒压分压法精密测量三线制热电阻阻值的方法,对于Pt100热电阻,检测分辨率可以达到0.005W。同时采用计算的方法,能够使获得的温度准确度达到0.05℃。 关键词: 恒压;三线制;热电阻;精度 引言 温度参数是目前工业生产中最常用的生产过程参数之一,对温度的测量虽然有许多不同的方法,但热电阻凭借其优良的特性成为目前工业上温度测量中应用最广泛普遍的传感元件之一。由于金属铂优良的物理特性,使它成为制造热电阻的首选材料。它能够制造成体积微小的薄膜形式,或者缠绕在陶瓷和云母基板上制造出高稳定性的温度传感器,能够适应各种复杂的测温场合。一般在-200℃至+400℃的温度范围内,Pt100热电阻温度传感器是首选测温元件。 目前在各种检验设备中,如各种检验用恒温槽,都要求设备能够提供高精度的温度指示,这就要求作到对温度的高精度测量。又如,在配置Pt100热电阻传感器的智能型二线制一体化温度变送器中,也要求对温度有高精度的测量,这样才能够保证变送器在全量程范围内的高精度。为了消除导线电阻对测量的影响,在实验室和工业应用中,都是采用三线制引线接法来消除导线电阻影响的。本文介绍的就是一种精密测量三线制热电阻阻值的方案,同时提供了高精度的温度转换方法。 三线制热电阻阻值检测电路 图1是一个采用恒压分压法精密测量三线制热电阻阻值的检测电路,实际是一个高精度温度变送器的检测部分。它采用AD7705作为模数转换器,系统控制CPU采用P87LPC764,整体系统是一个低功耗系统。 图1中,电阻体RT接成了三线制,RL为三根导线电阻,一般每根导线电阻在5W之内。电阻体与测量电路以A、B、C三点连接,实际上是与电阻R 构成了对电压VREF的分压电路。一般情况下,为避免驱动电流导致电阻体发热引起测量误差,电流应该小于3mA,这里笔者通过选择VREF和R,使驱动热电阻的电流约为0.6 mA左右。当在VREF和R是已知的前提下,

基于某PT100的温度测量系统

前言 传感器技术在信息采集、信息传输和信息处理中,属于前沿尖端产品,尤其是温度传感器技术,在各个领域广泛应用,比如在工农业生产中需要实时测量温度等等。因此研究温度的测量方法和装置具有重要的意义。 为了提高对传感器的认识和了解,尤其是对温度传感器的深入研究以及其用法与用途,基于实用、广泛和典型的原则而设计了本系统。本文利用单片机结合温度传感器技术而开发设计了这一温度测量系统。文中将传感器理论与单片机实际应用有机结合,详细地讲述了利用热电阻作为温度传感器来测量实时的温度,以及实现热电转换的原理过程。 本设计应用性比较强,设计系统可以作为温度测量显示系统,如果稍微改装可以做热水器温度调节系统、生产温度监控系统等等。本课题主要任务是完成环境性强等优点。 课程设计任务 本设计系统包括温度传感器,信号放大电路,A/D转换模块,时钟模块,数据处理与控制模块,温度、时间显示模块六个部分。文中对每个部分功能、实现过程作了详细介绍。整个系统的核心是进行温度测量与显示,完成了课题所有要求。 摘要:

本文采用AT89S51单片机,TLC2543 A/D转换器,DS1302时钟芯片,AD620放大器,铂电阻PT100及8位数码管组成系统,编写了相应的软件程序,使其实现温度的实时显示。该系统的特点是:使用简便;测量精确、稳定、可靠;测量围大;使用对象广。 关键词:PT100 单片机温度测量DS1302 Abstract: The system contains SCM(AT89S51), analog to digital convert department (TLC2543), DS1302 chip, AD620 amplifier, PT100 platinum, LED Digital tube with six, write the corresponding software program to achieve real-time temperature display. The system is simple , accurate , stable and wide range. Keywords: PT100 SCM Temperature Measures DS1302 一方案设计与论证 1.1 传感器的选择 由于本设计的任务是要求测量的围为0℃~100℃,测量的分辨率为±0.1℃,综合价格以及后续的电路,决定采用线性度相对较好的PT100作为本课题的温度传感器,具体的型号为WZP型铂电阻,该传感器的测温围从-200℃~+650

pt100测温程序

下载后可显示仿真图片,网上观看可能不支持图片 #include"at89X52.h" sbit LCD_RS =P2^0; sbit LCD_RW =P2^1; sbit LCD_E =P2^2; sbit ADC_CS =P2^3; sbit ADC_WR =P3^6; sbit ADC_RD =P3^7; #define LCD_DATA P0 unsigned char LcdBuf1[10]=""; unsigned char code Bmp001[][8]= { {0x06,0x09,0x09,0x06,0x00,0x00,0x00,0x00}, {0x06,0x09,0x10,0x10,0x10,0x09,0x06,0x00} }; void dellay(unsigned int h) { while(h--); //0.01MS } void WriteDataLcd(unsigned char wdata) { LCD_DATA=wdata; LCD_RS=1; LCD_RW=0; LCD_E=0; dellay(1000); LCD_E=1; }

void WriteCommandLcd(unsigned char wdata) { LCD_DATA=wdata; LCD_RS=0; LCD_RW=0; LCD_E=0; dellay(1000); LCD_E=1; } void lcd_init(void) { LCD_DATA=0; WriteCommandLcd(0x38); dellay(1000); WriteCommandLcd(0x38); dellay(1000); WriteCommandLcd(0x01); WriteCommandLcd(0x0c); } void display_xy(unsigned char x,unsigned char y) { if(y==1) x+=0x40; x+=0x80; WriteCommandLcd(x); } void display_string(unsigned char x,unsigned char y,unsigned char *s) { display_xy(x,y); while(*s) { WriteDataLcd(*s); s++; } } void Write_CGRAM(unsigned char add,unsigned char *char_num)

PT100温度变送器的设计

课程设计 课程名称测控电路 题目名称 Pt100温度变送器设计 学生学院物理与信息工程学院 专业班级测控技术与仪器 班号 B08072021 学生组员张文焱胡聪罗成 指导教师范志顺 2011-1-5

课 程 设 计报告 一、实验要求: 设计一个用热电阻Pt100制作的温度变送器,要求其温度变化范围为0℃-400℃,输出为0.3V-1.5V,精度为5%,在此基础上构成一个输出为4mA-20mA 的电流源。 二、实验原理: 1.同相放大及差分放大部分: Uo 2.电压跟随器: ) 21 (9) 49(21214 99 112212R R R R R R Uo R R R Uo R R R +?+?? =+? =+?则:对同相放大器有: 11 101222 11R R R Uo +? =-对电压跟随器有:) 21(6 8 6 8578577 16 57712Uo Uo R R Uo R R R R R Uo R R R Uo R R R R Uo Uo -?==-+?=+?-则:因对差分放大电路有: Uo

3.电流源电路: Uo 16 100)1317(171412) 100(1214 12100R i R R R R R i Uo R Uo R R i Uo i -++-- + +-= 三、元件清单: 四、资料准备: 热电阻的测温原理与热电偶的测温原理不同的是,热电阻是基于电阻的热效应进行温度测量的,即电阻体的阻值随温度的变化而变化的特性。因此,只要测量出感温热电阻的阻值变化,就可以测量出温度。目前主要有金属热电阻和半导体热敏电阻两类。金属热电阻的电阻值和温度一般可以用以下的近似关系式表示,即 Rt=Rt0[1+α(t-t0)] 。式中,Rt 为温度t 时的阻值;Rt0为温度t0(通常t0=0℃)时对应电阻值;α为温度系数。 半导体热敏电阻的阻值和温度关系为 Rt=AeB/t 。式中Rt 为温度为t 时的阻值;A 、B 取决于半导体材料的结构的常数。相比较而言,热敏电阻的温度系数更大,常温下的电阻值更高(通常在数千欧以上 ),但互换性较差,非线性严重,测温范围只有-50~300℃左右,大量用于家电和汽车用温度检测和控制。金属热电阻一般适用于-200~500℃范围内的温度测量,其特点是测量准确、稳定性好、性能可靠,在程控制中的应用极其广泛。工业上常用金属热电阻从电阻随温度的变化来看,大部分金属导体都有这个性质,但并不是都能用作测温热电阻,作为热电阻的金属材料一般要求:尽可能大而且稳定的温度系数、电阻率要大(在同样灵敏度下减小传感器

PT100温度测量电路

电阻温度检测器(RTD) 除了用于测量温度的热电偶,仪器仪表工程师经常使用电阻温度检测器或RTD。这些设备的直流电阻变化(几乎)作为线性温度的函数。或许其中最常见的是PT100,铂为基础的传感器,其电阻在0℃,正是100欧姆,(见表1)。由于传感器的温度升高其电阻也是如此,在一个合理的线性方式。表1显示了一个PT100传感器的电阻随温度的变化。而温度系数略有不同在一个很宽的温度范围内,(通常为0.0036至0.0042欧姆/ o C),它可以被认为是合理恒定在50或100 o C范围内。普遍接受的平均温度系数为0.00385欧姆每oC。据此,PT100往往可以在不超过这个范围线性化使用提供相应的系数进行评估。这个装置也能承受的温度范围很广,从-200到800 o C的能力,以及一些应用中的温度系数的变化可以容忍的。此外,PT100提供了稳定和可重复的温度特性。 对于给定的基极电阻R O,一个RTD电阻在T o C为: 或 α α o o R T R T T T T R T R - = - - + = ) ( )) ( 1( ) ( ... (1) 其中R O是基极电阻对应到T O ,(在0 o C 100欧姆)和是温度系数(每o C 0.00385Ohms)。因此,R(100℃)= 138.5欧姆。这种近似提供了相当良好的温度估计高达约300℃,如图1所示,在此之后,非线性就不言而喻了。 图1。RTD线性模型与实际特性 方程(1)假设,在RTD的非线性特性可以忽略不计,即该设备完全是线性的,而许多应用这种近似是可以接受的,这里需要一个更精确的非线性模型,必须使用,如公式概述 ( 2)。 ) ) 100 ( 1( ) (3 2T T C BT AT R T R o - + + + =(2) 其中:A = 3.908E - 3,B = - 5.775E - 7和C = - 4.183E - 12 T <0,C =为T 0> 0。

传感器课程设计(基于labview的pt100温度测量系统)重点

目录 第一章方案设计与论证 ............................................................................................ 2第一节传感器的选择 (2) 第二节方案论证 ........................................................................................................ 3第三节系统的工作原理 ............................................................................................ 3第四节系统框图 ........................................................................................................ 4第二章硬件设计 .......................................................................................................... 4第一节PT100传感器特性和测温原理 . ................................................................... 5第二节信号调理电路 ................................................................................................ 6第三节恒流源电路的设计 ........................................................................................ 6第四节 TL431简介 .................................................................................................... 8第三章软件设 计 ........................................................................................................... 9 第一节软件的流程图 ................................................................................................ 9第二节部分设计模块 ...............................................................................................10总 结 ..................................................................................................................................11参考文献 . (11) 第一章方案设计与论证 第一节传感器的选择 温度传感器从使用的角度大致可分为接触式和非接触式两大类, 前者是让温度传感器直接与待测物体接触, 而后者是使温度传感器与待测物体离开一定的距离, 检测从待测物体放射出的红外线, 达到测温的目的。在接触式和非接触式两大类温度传感器中, 相比运用多的是接触式传感器, 非接触式传感器一般在比较特殊的场合才使用,目前得到广泛使用的接触式温度传感器主要有热电式传感器, 其中将温度变化转换为电阻变化的称为热电阻传感器, 将温度变化转换为热电势变化的称为热电偶传感器。

Pt100热电阻的测温电路

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊ Pt100热电阻的测温电路 [摘要] 热电阻测温是基于金属导体的电阻值随温度的增加而增加这一特性来进行温度测量的。热电阻大都由纯金属材料制成,目前应用最多的是铂和铜,此外,现在已开始采用镍、锰和铑等材料制造热电阻。 热电阻传感器主要是利用电阻值随温度变化而变化这一特性来测量温度及与温度有关的参数。在温度检测精度要求比较高的场合,这种传感器比较适用。目前较为广泛的热电阻材料为铂、铜、镍等,它们具有电阻温度系数大、线性好、性能稳定、使用温度范围宽、加工容易等特点。用于测量-200℃~+500℃范围内的温度。 温度测量系统应用广泛,涉及到各行各业的各个方面,在各种不同的领域中都占有重要的位置。从降低开放成本扩大适用范围、系统运行的稳定性、可靠性出发,设计一种以Pt100铂热电阻为温度信号采集元件的传感器温度测量系统。才测量系统不但可以测量室内的温度,还可以测量液体等的温度,在实际应用中,该系统运行稳定、可靠,电路设计简单实用。 [关键字] 传感器 Pt100热电阻温度测量

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊ Pt100 RTD temperature measurement circuit [Abstract] RTD temperature measurement is based on the value of the metal conductor resistance increases with temperature for this feature temperature measurement. Most of the thermal resistance of pure metallic material, is currently the most widely used platinum and copper, in addition, have now begun using nickel, manganese and rhodium and other material thermal resistance. Mainly by using thermal resistance sensor resistance changes with temperature to measure the temperature and the characteristics and temperature-related parameters. Accuracy in the detection of relatively high temperature of the occasion, the sensor for comparison. Present a more extensive material for the thermal resistance of platinum, copper, nickel, etc., they have the resistance temperature coefficient, linearity, and stable performance, wide temperature range, easy to process and so on. Used to measure -200 ℃ ~ 500 ℃ temperature range. Temperature measurement system is widely used, involving all aspects of all walks of life, in a variety of different fields have an important position. Extend from the lower cost of open range, the system stability, reliability starting to design a Pt100 platinum resistance temperature sensor signal collection device temperature measurement system. Measuring system can measure not only until the indoor temperature, but also can measure the temperature of the liquid, etc., in practice, this system is stable, reliable, simple and practical circuit design. [Keywords] Sensors Pt100 RTD Temperature measuremen t 目录

pt100温度变送器1

目录 一:变送器的设计原理 (2) 1:pt100热电阻的介绍 (2) 2:基于双恒流源的三线热电阻测温探头电路的设计 (2) 3:单片机最小系统介绍 (3) 4:基于ADC0804的采样系统设计 (4) 5:基于1602的显示电路的设计 (5) 6:基于DAC0832的模拟量输出设计 (6) 7 :4~20mA电路的设计 (7) 三:程序设计 (7) 1. 程序流程图 (7) 2.程序如下所示: (8)

一:变送器的设计原理 1:pt100热电阻的介绍 热电阻:电阻体的阻值随温度的变化而变化,利用此特性就可以进行对温度的测量。 pt100是铂热电阻,它的阻值跟温度的变化成正比。PT100的阻值与温度变化关系为:当PT100温度为0℃时它的阻值为100欧姆,在100℃时它的阻值约为138.5欧姆。它的工业原理:当PT100在0摄氏度的时候他的阻值为100欧姆,它的阻值会随着温度上升而成匀速增长的。应用于医疗、电机、工业、温度计算、阻值计算等高精温度设备,应用范围非常之广泛。 热电阻PT100的分度表 温度℃0 1 2 3 4 5 6 7 8 9 电阻值(Ω) 0 10 20 30 40 100.00 103.90 107.79 111.67 115.54 100.39 104.29 108.18 112.06 115.93 100.78 104.68 108.57 112.45 116.31 101.17 105.07 108.96 112.83 116.70 101.56 105.46 109.35 113.22 117.08 101.95 105.85 109.73 113.61 117.47 102.34 106.24 110.12 114.00 117.86 102.73 106.63 110.51 114.38 118.24 103.12 107.02 110.90 114.77 118.63 103.51 107.40 111.29 115.15 119.01 50 60 70 80 90 119.40 123.24 127.08 130.90 134.71 119.78 123.63 127.46 131.28 135.09 120.17 124.01 127.84 131.66 135.47 120.55 124.39 128.22 132.04 135.85 120.94 124.78 128.61 132.42 136.23 121.32 125.16 128.99 132.80 136.61 121.71 125.54 129.37 133.18 136.99 122.09 125.93 129.75 133.57 137.37 122.47 126.31 130.13 133.95 137.75 122.86 126.69 130.52 134.33 138.13 100 110 120 130 140 138.51 142.29 146.07 149.83 153.58 138.88 142.67 146.44 150.21 153.96 139.26 143.05 146.82 150.58 154.33 139.64 143.43 147.20 150.96 154.71 140.02 143.80 147.57 151.33 155.08 140.40 144.18 147.95 151.71 155.46 140.78 144.56 148.33 152.08 155.83 141.16 144.94 148.70 152.46 156.20 141.54 145.31 149.08 152.83 156.58 141.91 145.69 149.46 153.21 156.95 Pt100五段折线化数值 0-19 0.3899 100.0009 20-39 0.3875 100.0465 40-59 0.3852 100.1369 60-79 0.3828 100.2755 80-100 0.3806 100.4494 2:基于双恒流源的三线热电阻测温探头电路的设计 (1)稳流源电路

相关主题
文本预览
相关文档 最新文档