当前位置:文档之家› 课程设计报告

课程设计报告

课程设计报告
课程设计报告

目录

设计目的 (3)

D触发器概述 (3)

D触发器数字前端设计 (3)

用与非门构成D触发器的方案 (5)

由传输门构成D触发器的方案 (10)

D触发器方案讨论选择 (16)

D触发器版图设计 (17)

D触发器工艺流程 (21)

设计总结 (22)

一.设计目的

通过D触发器从前端硬件描述、功能仿真、逻辑综合到后端原理图绘制、仿真及版图设计,应掌握以下几点:

1.在数字集成电路的前端设计过程中,能熟练地使用硬件描述语言对相关逻辑

单元进行硬件描述。

2.在集成电路设计过程中,能够对编写的代码进行功能仿真及逻辑综合,同时

在此过程中能较为熟练地使用QuartusII、Modelsim及Sypnlify pro。

3.掌握D触发器工作原理,门级电路组成及设计。

4.在集成电路方面,学会利用Cadence软件完成给定功能的集成电路原理设计

与特性模拟,按版图规则完成版图设计,并确定相应的制造工艺流程;掌握版图布局规划、单元设计和布线规划的知识。

5.在工艺器件方面,学会利用集成电路工艺和器件技术的计算机辅助设计软件

(Silvaco-TCAD)对器件的设计与分析,并确定相应的制造工艺流程及相应参数的测试。

二.D触发器概述

D触发器是现在数字集成电路设计中最基本的逻辑单元之一,对于一些复杂的带有时序功能的逻辑器件,D触发器器是这些器件的基本逻辑单元,所以,对于D触发器的前后端的设计是整个数字集成电路的基础。D触发器一般为边沿触发,电平触发器的主从触发器工作时,必须在正跳沿前加入输入信号,如果在CP高电平器件输入端出现干扰信号,那么就有可能使触发器的状态出错。而边沿触发器允许在CP触发沿来到钱一瞬间加入输入信号。

这样,输入端受干扰的时间就会大大缩短,受干扰的可能性就见地了。边沿D触发器也称为维持-阻塞边沿D触发器。

三.D触发器数字前端设计

1.D触发器的Verilog HDL设计

在数字集成电路设计过程中,对电路的描述在具备了硬件描述语言之后就变得更加的简单了。以下则是用verilog HDL编写的D触发器的源代码:module d_ef(clk,D,Q);

input clk;

input D;

output Q;

reg Q;

always@(posedge clk)

begin

相关主题
文本预览
相关文档 最新文档