当前位置:文档之家› SMIC员工漫谈半导体代工企业内幕

SMIC员工漫谈半导体代工企业内幕

SMIC员工漫谈半导体代工企业内幕
SMIC员工漫谈半导体代工企业内幕

SMIC员工漫谈半导体代工企业内幕(转载)

最近有不少的弟兄谈到半导体行业,以及SMIC、Grace等企业的相关信息。

在许多弟兄迈进或者想要迈进这个行业之前,我想有许多知识和信息还是需要了解的。正在半导体制造业刚刚全面兴起的时候,我加入了SMIC,在它的Fab里做了四年多。历经SMIC生产线建立的全部过程,认识了许许多多的朋友,也和许许多多不同类型的客户打过交道。也算有一些小小的经验。就着工作的间隙,把这些东西慢慢的写出来和大家共享。

阅读下文之前可以先参看后面的词汇表,便于理解本文内容。

从什么地方开始讲呢?就从产业链开始吧。有需求就有生产就有市场。

市场需求(或者潜在的市场需求)的变化是非常快的,尤其是消费类电子产品。这类产品不同于DRAM,在市场上总是会有大量的需求。也正是这种变化多端的市场需求,催生了两个种特别的半导体行业——Fab和Fab Less Design House。

我这一系列的帖子主要会讲Fab,但是在一开头会让大家对Fab周围的东西有个基本的了解。像Intel、Toshiba这样的公司,它既有Design的部分,也有生产的部分。这样的庞然大物在半导体界拥有极强的实力。同样,像英飞凌这样专注于DRAM的公司,活得也很滋润。至于韩国三星那是个什么都搞的怪物。这些公司,他们通常都有自己的设计部门,自己生产自己的产品。有些业界人士把这一类的企业称之为IDM。

但是随着技术的发展,要把更多的晶体管集成到更小的Chip上去,Silicon Process的前期投资变得非常的大。一条8英寸的生产线,需要投资7~8亿美金;而一条12英寸的生产线,需要的投资达12~15亿美金。能够负担这样投资的全世界来看也没有几家企业,这样一来就限制了芯片行业的发展。准入的高门槛,使许多试图进入设计行业的人望洋兴叹。

这个时候台湾半导体教父张忠谋开创了一个新的行业——foundry。他离开TI,在台湾创立了TSMC,TSMC不做Design,它只为做 Design的人生产Wafer。这样,门槛一下子就降低了。随便几个小朋友,只要融到少量资本,就能够把自己的设计变成产品,如果市场还认可这些产品,那么他们就发达了。同一时代,台湾的联华电子也加入了这个行当,这就是我们所称的UMC,他们的老大是曹兴诚。——题外话,老曹对七下西洋的郑和非常钦佩,所以在苏州的UMC友好厂(明眼人一看就知道是 UMC在大陆偷跑)就起名字为“和舰科技”,而且把厂区的建筑造的非常有个性,就像一群将要启航的战船。

----想到哪里就说到哪里,大家不要见怪。

在TSMC和UMC的扶植下,Fab Less Design House的成长是非常可观的。从UMC中分离出去的一个小小的Design Group成为了著名的“股神”联发科。当年它的VCD/DVD相关芯片红透全世界,股票也涨得令人难以置信。我认识一个台湾人的老婆,在联发科做Support 工作,靠它的股票在短短的四年内赚了2亿台币,从此就再也不上班了。

Fab Less Design House的成功让很多的人大跌眼镜。确实,单独维持Fab的成本太高了,所以很多公司就把自己的Fab剥离出去,单独来做Design。

Foundry专注于Wafer的生产,而Fab Less Design House专注于Chip的设计,这就是分工。大家都不能坏了行规。如果Fab Less Design House觉得自己太牛了,想要自建Fab来生产自己的Chip,那会遭到Foundry的抵制,像UMC就利用专利等方法强行收购了一家Fab Less Design House辛辛苦苦建立起来的Fab。而如果Foundry自己去做Design,那么 Fab Less Design House就会心存疑惑——究竟自己的Pattern Design会不会被对方盗取使用?结果导致Foundry的吸引力降低,在产业低潮的时候就会被Fab Less Design House抛弃。

总体来讲,Fab Less Design House站在这个产业链的最高端,它们拥有利润的最大头,

它们投入小,风险高,收益大。其次是Foundry (Fab),它们总能拥有可观的利润,它们投入大,风险小,受益中等。再次是封装测试(Package&Testing),它们投入中等,风险小,收益较少。

当然,这里面没有记入流通领域的分销商。事实上分销商的收益和投入是无法想象和计量的。我认识一个分销商,他曾经把MP3卖到了50%的利润,但也有血本无归的时候。

所以Design House是“三年不开张,开张吃三年。”而Fab和封装测试则是赚个苦力钱。对于Fab来讲,同样是0.18um的8英寸 Wafer,价格差不多,顶多根据不同的Metal层数来算钱,到了封装测试那里会按照封装所用的模式和脚数来算钱。这样Fab卖1200美元的Wafer被Designer拿去之后,实际上卖多少钱就与Fab它们没有关系了,也许是10000美元,甚至更高。但如果市场不买账,那么 Design House可能就直接完蛋了,因为它的钱可能只够到Fab去流几个Lot的。我的前老板曾经在台湾TSMC不小心MO,结果跑死掉一批货,结果导致一家Design House倒闭。题外话——Fab的小弟小妹看到动感地带的广告都气坏了,什么“没事MO一下”,这不找抽吗?没事MO(Miss Operation)一下,一批货25片损失两万多美元,奖金扣光光,然后被 fire。

在SMIC,我带的一个工程师MO,结果导致一家海龟的Design House直接关门放狗。这个小子很不爽的跳槽去了一家封装厂,现在混得也还好。

所以现在大家对Fab的定位应该是比较清楚的了。

Fab有过一段黄金时期,那是在上个世纪九十年代末。TSMC干四年的普通工程师一年的股票收益相当于100个月的工资(本薪),而且时不时的公司就广播,“总经理感谢大家的努力工作,这个月加发一个月的薪水。”

但是过了2001年,也就是SMIC等在大陆开始量产以来,受到压价竞争以及市场不景气的影响,Fab的好时光就一去不复返了。高昂的建厂费用,高昂的成本折旧,导致连SMIC 这样产能利用率高达90%的Fab还是赔钱。这样一来,股票的价格也就一落千丈,其实不光是SMIC,像TSMC、UMC的股票价格也大幅下滑。

但是已经折旧折完的Fab就过得很滋润,比如先进(ASMC),它是一个5英寸、6英寸的Fab,折旧早完了,造多少赚多少,只要不去盖新厂,大家分分利润,日子过的好快活。

所以按照目前中国大陆这边的状况,基本所有的Fab都在盖新厂,这样的结论就是:很长的一段时间内,Fab不会赚钱,Fab的股票不会大涨,Fab的工程师不会有过高的收入。

虽然一直在亏本,但是由于亏本的原因主要是折旧,所以Fab总能保持正的现金流。而且正很多。所以结论是:Fab赔钱,但绝对不会倒闭。如果你去Fab工作,就不必担心因为工厂倒闭而失业。

下面讲讲Fab对人才的需求状况。

Fab是一种对各类人才都有需求的东西。无论文理工,基本上都可以再Fab里找到职位。甚至学医的MM都在SMIC找到了厂医的位置。很久以前有一个TSMC工程师的帖子,他说Fab对人才的吸纳是全方位的。(当然坏处也就是很多人才的埋没。)有兴趣的网友可以去找来看看。

一般来讲,文科的毕业生可以申请Fab厂的HR,法务,文秘,财会,进出口,采购,公关之类的职位。但是由于是Support部门这些位置的薪水一般不太好。那也有些厉害的MM选择

做客户工程师(CE)的,某些MM居然还能做成制程工程师,真是佩服啊佩服。

理工科的毕业生选择范围比较广:

计算机、信息类的毕业生可以选择作IT,在Fab厂能够学到一流的CIM技术,但是由于不受重视,很多人学了本事就走人先了。

工程类的毕业生做设备(EE)的居多,一般而言,做设备不是长久之计。可以选择做几年设备之后转制程,或者去做厂商(vendor),钱会比较多。当然,也有少数人一直做设备也发展得不错。比较不建议去做厂务。

材料、物理类的毕业生做制程(PE)的比较多,如果遇到老板不错的话,制程倒是可以常做的,挺两年,下面有了小弟小妹就不用常常进Fab了。如果做的不爽,可以转PIE或者TD,或者厂商也可以,这个钱也比较多。

电子类的毕业生选择做制程整合,也就是Integration(PIE)得比较多,这个是在Fab 里主导的部门,但如果一开始没有经验的话,容易被PE忽悠。所以如果没有经验就去做PIE 的话,一定要跟着一个有经验的PIE,不要管他是不是学历比你低。

所有硕士或者以上的毕业生,尽量申请TD的职位,TD的职位比较少做杂七杂八的事情。但是在工作中需要发挥主动性,不然会学不到东西,也容易被PIE之类的人骂。

将来有兴趣去做封装、测试的人可以选择去做产品工程师(PDE)。

有兴趣向Design转型的人可以选择去做PIE或者PDE。

喜欢和客户打交道的人可以选择去做客户工程师CE,这个位置要和PIE搞好关系,他们的Support是关键。

有虐待别人倾向,喜欢看着他人无助神情的人可以考虑去做QE。QE的弟兄把PIE/PE/EE/TD/PDE之类的放挺简直太容易了。:)

下面分部门简单介绍一下Fab的工种。

Fab中PIE要略微比PE和EE好一些,相对进fab的机会要少。

PIE主要的工作有很多,但总而言之是和产品密切相关的。SMIC上海厂有DRAM和Logic两种截然不同的产品,相应的PIE职责也有区别。

Memory PIE(基本都在一厂)通常是分段管理,一般是有人负责Isolation(FOX/STI),有人负责Capacitance,有人负责 Transistor,有人负责后段Interconnect。总体分工比较明确,少数资深的工程师会负责全段的制程。Memory的产品通常种类较少,总量较大,比较少有新的产品。SMIC的Memory有堆栈型和沟槽型两大类,都在一厂有量产。

Logic PIE(两个厂都有)才是真正意义上的Fab PIE,一般来讲Fab要赚钱,Logic的产品一定要起来。Logic PIE通常会分不同的Technology来管理产品,比如0.35um LG/MM/HS;0.18um LG/MM/HS/SR;0.13um LG/SR等等。Logic的产品种类非常多,但每颗的总量一般不会太大,如果能够有1000pcs/月的量,那已经是比较大的客户了。——如果遇到这样的新客户,大家可以去买他的股票,一定可以赚钱。

Logic PIE的主要工作通常有Maintain和NTO两大类,前者针对量产的大量产品的良率提高,缺陷分析等。后者主要是新产品的开发和量产。具体的工作么,拿NTO来讲,有Setup process flow, pirun, fab out report, defect reduction, yield analysis, customer meeting, ... ...等等。

相比较而言,进fab倒不是最主要的,分析数据和写报告的工作为主。

偷个懒,把原来写的一部分搬过来。通常讲Fab的工作环境比较恶劣,那就是指Module 和MFG。因为PIE可以比较少进Fab,所以PIE虽然也会比较忙,但是接触到辐射、化学药品的机会要少很多。

一般本科毕业生如果去MFG的话会做线上的Super,带领Leader和一群小妹干活。除非你从此不想和技术打交道,否则不要去MFG。只有想将来做管理的人或者还会有些兴趣,因为各个不同区域的MFG都是可以互换的,甚至不同产业的制造管理都是一样的。Fab的

MFG Supper在封装、测试厂,在TFT/LCD厂,在所有的生产制造型企业都可以找到相关合适的位置。和人打交道,这是管理的核心,而在MFG,最重要的就是和人打交道。你会和EE吵架,和PE吵架,和PIE吵架,被Q的人闻讯,可以修理TD的弟兄,不过比较会惹不起PC(Production Control)。喜欢吵架的弟兄可能会乐此不疲,因为MFG和别人吵架基本不会吃亏。

在Fab里有三个“第一”:安全第一,客户第一,MFG第一。所以只要和安全以及客户没有关系,MFG就是最大的,基本可以横着走。PIE能够和 MFG抗争的唯一优势,也就是他们可以拿客户来压MFG。MFG在奖金等方面说话的声音比较大,一般而言,奖金优先发放给MFG,因为他们最辛苦。MFG 的Super需要倒班,做二休二,12小时12小时的轮,在休息的时候还会被拖过来学习、写报告什么的,所以平均下来一周工作的时间至少在50小时以上。上白班的还好,但是上晚班的生物钟会被弄的比较乱。MFG做常日的Super会好一些。

不建议硕士以及以上学历的弟兄去MFG。Module的工程师主要分成两大类:制程(工艺)和设备。也就是所谓PE和EE。基本上无论哪个Module都会有这样的两类工程师。

设备工程师主要负责的是机台的状况,他们要保持机台始终处于比较良好的Status,从而提高机台的利用率。TSMC在最忙的时候曾经把机台的利用率提到到了110%以上,这样就需要缩短机台设计的PM时间,缩短机台的Monitor时间,减小Down机的几率。这样设备工程师的压力就很大。设备工程师的On Call通常就是来自于此。如果大家都是混得比较资深的EE,那由于晚上都有设备值班,小问题都能够被处理掉,而大问题也没法处理,可以第二天白天来做。但如果是一群没有足够经验的EE,那么每个人都只能专精几种机台,结果就是遇到不熟悉的机台出问题,就只好Call人了。

EE在Fab中待的时间要比PE长,有很多routine的工作,比如PM。EE的问题相对简单,妈的,机台出问题了我就修呗,修不好我就Call Vendor呗。你制造部不爽那你自己来修。

EE有很多机会接触有毒的气体、辐射和化学药品,也容易遭受侵害。Fab里很多耸人听闻传说中的主人公都是EE。记住一条Fab的铁律,任何不明身份的液体都可以默认为是HF溶液,千万不要去胡乱摸。此外特别的区域会有特别的注意事项,各自要注意。

EE主要和PE以及厂务(FAC)的弟兄打交道。不太会直接面对PIE这种Module比较讨厌的人物,也和TD的弟兄没有什么大的过节。由于是机台的使用者,Vendor会常常来和EE搞好关系,如果公司许可,可以有很多的饭局。酒量要锻炼。

EE的工作很累,但并不很复杂,如果加入了一个不错的集体,也可以过的很快活。

硕士以及以上学历的弟兄一般不会有机会加入EE的行列,工科的本科/大专毕业生可以绰绰有余的胜任EE的工作。EE做久了如果没有什么兴趣可以想办法转去做PE,如果想赚钱,做Vendor也不错。

制程工程师,也就是工艺工程师,也就是PE。他们主要负责Fab中各类工艺参数和程式的设定。一个稳定的Fab必然需要大量资深的PE在。PE的工作状况和EE不同,他们将面对多个部门的压力,MFG和PIE是“压迫”PE最多的两伙人。而Q的弟兄也会让PE非常痛苦,时常窜出来搞乱的TD工程师常常会把 PE搞得抓狂。然后在PE和EE之间存在大量的灰色地带,这个事情究竟谁做?双方吵架的机会也是大把大把。

PE和Vendor打交道的机会也比较多,无论是机台的Vendor还是Material的Vendor。熟悉之后,跳槽出去做Vendor的PE也不少。通常而言,EE去做 Vendor还是修机器,而PE常常会摇身一变成了Sales。许多出去买Material的PE现在富的流油(因为有提成),尤其以卖CMP研磨液的弟兄为最好,卖靶材和光阻的就差了不少。

PE也是需要在Fab里面常常待的,要tuning出好的程式也需要付出很大的代价。以Diff 为例子,每个run都要以小时计算,无论是uniformity、Defect、Quality都需要被考量,而且最后还要得到PIE电性数据的Support。

Fab里面出什么问题,MFG无法界定的时候,第一个通知的就是值班PE。

每当一个新的制程在开发的时候,无论是PIE主导还是TD主导,PE都累得像条狗一样,操劳过度,而且还要陪着笑脸向制造部的Leader借机台,一不小心就付出请客喝水的代价。只有少数资深的PE敢于把PIE或者TD骂一顿然后罚他们自己去借机台的。许多PRS 数据都需要切片,PE就只好在 FA Lab陪伴切片的小妹度过一个个不眠之夜——尤其以ETCH的弟兄最为痛苦,当年的liaoduan他们就切片切的昏天黑地。最后怒了,就拿了把西瓜刀去找PIE进行黑社会谈判,好不容易分了一部分活出去。

PE要值夜班,EE值班的时候,如果机台没问题就可以眯段时间,反正半夜也没有老板在。但是机台没有问题不代表Wafer没有问题,实际上Fab中 Wafer出的问题千奇百怪,匪夷所思。所以PE的值班手机从来就不会闲下来,在 Fab中最忙的值班电话通常是CMP、YE和PHOTO的值班手机。

什么叫做痛苦,当你作为一个PE在Fab里接到YE的报警电话的时候就会有一种生不如死的感觉。完了,今天的值班一定没好日子过了……

PE同样面对Fab中的不良环境,所以要注意身体,在有了小弟小妹之后就尽量少进Fab。回头再讲讲PIE。表面上看起来,PIE要比PE/EE都快活,他们在Fab里工作的绝对时间要远少于PE和EE。对于PE来讲,PIE简直就是最可恶的人之一,成天忽发奇想,给出奇奇怪怪的各项指令,然后还不停的来骚扰自己,要这样做,要那样做,简直像一大堆苍蝇。而且自己还不能像对待TD一样直截了当的say no。然后还要看我的SPC,帮着Q这些人来Review自己,简直讨厌透了。

所以,半夜货出了问题,不管大小,Call人!把PIE这群鸟人Call起来上个厕所。

Module的工程师只是负责一段的制程,而PIE需要对整个制程负责。很自然的,对于一个具体的制程来讲,PIE不可能比PE更为专业。但是PIE 的位置决定了他必须要“以己之短,攻敌之长”,和PHOTO讨论Shot Dependance,和ETCH讨论Loading Effect,和CMP 讨论Down Force,……结果导致所有的人都认为:妈的,PIE什么都不懂。有一些聪明的PIE就和PHOTO工程师讲DIFF,和DIFF工程师讲 ETCH,和ETCH的讲CMP,……结果就是所有的人都对他肃然起敬。

其实,PIE和PE有强烈的依存关系,PIE面对的人更加多,也更加杂,一个好的PIE 会保护和自己合作的PE,而一个差劲的PIE会在客户来发飚的时候把PE推出去当替死鬼。PIE需要PE为自己的实验准备程式,调试机台,提供意见……没有PE的Support,PIE什么也不是。当年SMIC一厂著名的Marvin、Jing和Cathy小姐开发0.15um Utrla Low Power SRAM的时候,就是由于IMP的失误,导致近一年的开发时间被浪费了。Marvin、Jing和Cathy每次提到这段血泪史无不扼腕叹息——当年付出的努力:无数次的夜班,电性分析,切片FA,Split Run,……通通付诸东流。

PIE唯一还算的上专业的,就是WAT电性,一个好的PIE需要对电性的结果非常敏感。

各位所有想要做,或者正要做PIE的朋友,请记住一条PIE的铁律:“永远不要乱改东西。”只要你记住了这一句话,你就没有白花时间看这段文字。

做Lot Owner是件痛苦的事情,因为这一批货色的成败死活都会和你挂钩,如果是很重要的货,那么晚上被Call几乎是一定的。有时候你还得半夜等货做实验。说起做实验,就会涉及到Run Card,这是让制造部帮助你不按照正常流程来做实验的东东。开的Run Card 越多,制造部就会越恨你。当年的Jamin以2年半超过1000张Run Card成为MFG第一“公敌”。其实像PIE每个人的Run Card数目都不少,数百张都是很正常的。

PIE会直接面对客户。合理帮助你的客户,没准下一份轻松写意收入好的工作你可以在他们那里找到,而且还可以回来Review Fab。

做的无聊了,PIE可以转PDE/TD/CE等职位,也可以跳槽去做Foundry Manager,转行做Design德也有,去Vendor那里的机会比较少。

关于PDE

这是产品工程处的职位。主要的工作是帮助Fab找到Yield Loss的主要方面,帮助Fab 提高 Yield。写Report是PDE最常做的事情。PDE需要有EFA和PFA的基本功底,要有对电性等各类数据高度的敏感。好的PDE需要在 Integration先锻炼过一段时间,熟悉Flow 和Fab的环境。

Memory的PDE相对好做,利用电性的方法,可以比较容易的定位到Fail Point,再做FA分析。难点在找到问题之后PIE的Yield Improve,但这个是以PIE为主去做的。

而Logic的PDE比较困难,如果遇到不讲理的PIE,压力就很大。Logic产品Yield上不去,原则上PIE只要一句:Product给点方向。就可以闪人了,痛苦的是PDE。好在绝大多数PIE会负责到底,但这又带来一个问题。就是PDE会被“架空”或者干脆成为了PIE切片的小弟。

做PDE一定要积极,同时要和PIE保持良好的关系,PDE和PIE只有紧密合作,才能把产品弄好。而且当PDE不得不面对Module工程师的时候,记得找个PIE帮你,在Fab 里,他说话比PDE管用。

PDE要面对客户,记住最重要的一点:在没有和PIE确认之前,不要对客户乱说话。不然害惨PIE也害惨PDE自己。

如果将来不想做PDE了,可以转行做封装测试,转行做Design,或者Foundry manager,或者foundry内部的CE,PIE,TD等都可以。

一只秒表走天下的IE

工业企划处的IE可以算是Foundry中的一个异类,做好了可以直取管理的精髓,做不好,就被无数的PE/EE甚至MFG看不起。小时候一定都读过华罗庚老先生的《统筹管理》一文(初中课本有记载),IE做的工作就和这个有关系。

Fab是一个异常复杂的流水线,一片Wafer从下线到产出需要经过数百道流程和近百种机台。生产步骤之间的整合总体分成两大部分:Process方面和生产能力方面。前者由我们应明伟大的PIE负责,而后者就是IE的工作。

比若说,一个产品出来需要经过ABC三个过程,A过程中使用到的机台平均日生产能力为A1,以此类推。原则上讲A1=B1=C1才是最佳的组合。IE的工作之一就是要使Fab中各类机台的产能达到平衡,估算各类机台的需要程度,并提出组成方案。

这绝对不是一个简单的活。首先,Fab不会只跑几种产品,它的产品一直在改变;其次,机台标称的生产能力不见得和真正的生产能力Match;第三,各类机台的Down机几率不一样,复机所需时间也不一样;最后,出于Fab出货的需要,有些时候需要采用一种特别的跑货方法,比如说月底拉货出线,比如说应客户要求的Super Hot Run等等,这些都会大大的干扰正常的流程。为了获得具体的第一手资料,许多IE就跑到Fab里,看着Wafer的进出,用秒表来掐算时间。这就是所谓的“一只秒表走天下”。

类似的还有MC,他们控制的主要是Fab使用的Material,由于Fab厂跑的货一直在变,一旦MC估测不好——后果很严重,MFG很生气。

还有PC,他们的主要工作是按照Fab的产能状况来排货。

这些岗位都属于工程师编制,他们的主要目的就是让Fab能够合理的近乎满负荷的工作。

TD = Technology Develop

为Fab的技术开发部门,通常公司中的R&D地位和Fab中的TD类似。之所以叫“技术发展部”而不叫“研究和开发部”的原因大概是因为 Fab搞得Silicon Process如果是研究的话,没有哪家公司愿意做,一般都是在大学和研究所里面。——一家之言。

对于中芯而言,TD分为两个,LTD和MTD。LTD主要是从事逻辑器件的开发,而MTD 则是开发memory器件。一般来说,TD的工作主要是开发下一代工艺技术。以LTD为例,它包括Module, PIE, Device等部门。Module工程师的工作是develop新的recipe。他们是与Fab的工程师联系最紧密的,因为TD没有自己的设备,所以经常要跑到Fab里去借机台。每次都要看别人的眼色行事。有时候实在很难借到机台,只有告诉自己老板,通过与对方老板之间的协商来解决问题。那么PIE的工作是要通过与本部门不同的Module合作,建立整个process flow。TD的工程师不像Fab的工程师晚上有轮班的。所以,只能尽量在白天完成任务,如果实在不行,比如晚上才能借到机台,那也只能自认倒霉,晚上加班。因为Fab 的规矩是宁可人等机子也不能机子等人,否则第二天早上的晨会就要被老板们highlight了。第一次警告,以后再犯就开始扣钱了。事实上,TD里面最能够学到东西就是Device工程师。Device里面分Modeling 和device engineer。Modeling 还细分TCAD 以及SPICE model.如果没有TCAD,我们芯片的价格可就不是现在这个价钱。因为TCAD是根据一些基本的物理模型和经验参数开发的一套软件,它可以模拟几乎所有Fab里的工艺步骤,并给出器件模拟性能。如果参数和校准做的好的话,模拟出来的器件性能与事实上工厂里流片的结果相差无几。正是有了这一套软件,可以节省大量的实验过程,也就是节省大量的wafer,这样开发新的工艺技术,可以节省成本。然而,TCAD工程师一般要求比较深厚的半导体物理器件知识还有一个不可或缺的就是经验。那么device engineer就是通过与TCAD,PIE合作,根据TCAD给出的工艺条件,在Fab里面做几个split进行流片,得到实验结果与spec进行比较,如果出现偏差,再进行Modeling,或者直接根据实验结果,再进行实验微调,来会几回,就可以on target了,这当中还包括reliability的测试。所有这些完成后,就可以将标准wafer交给SPICE Modeling 工程师,去建数据库,这样客户就可以通过数据库拿到标准单元的数据,去设计它们的芯片,最后拿到Fab流片,生产。

在ASMC,他的TD实际上就是SMIC的Integration,事实上,SMIC的Integration 也可以Cover到一部分TD的工作。

QE主要是在Fab里找茬的。由于Fab是一条非常复杂的流水线,除了PIE之外,必须有一个独立的部门对品质负责。这个部门就是Q。Q的主要工作就是杜绝Fab中一切不符合rule 和OI的事件,如果还没有法则,那Q就需要和PIE/PE来制定出合理的法则。

由于经常会给PE/PIE制造困扰,所以QE常常会让人感觉很讨厌,但是他们又惹不起QE。所以,PIE/PE对待QE都是以忽悠为主,此牙咧嘴为辅。

一个好的QE并不好做,在熟练掌握QE本身的技能之外,还需要对process有一定的了解——至少不能被很容易的忽悠,而且还要掌握一定的灵活尺度,不能把别人都害死。

做好QE的一个要诀就是原则性和灵活性并重。建议QE工程师至少要有一到两个比较铁杆的PIE弟兄,这样别人要忽悠你就不太容易了。

IC设计

20个珍藏技术书籍下载好站

1、https://www.doczj.com/doc/1b6674330.html,/~schmidt/PDF/

2、https://www.doczj.com/doc/1b6674330.html,/~doc/pspdfs/

3、https://www.doczj.com/doc/1b6674330.html,/files/

4、https://www.doczj.com/doc/1b6674330.html,/Books/DownloadSites

5、https://www.doczj.com/doc/1b6674330.html,/~baum/programming.html

6、http://content.443.ch/pub/

7、https://www.doczj.com/doc/1b6674330.html,.tw/~zmx/https://www.doczj.com/doc/1b6674330.html,/ebook/

8、https://www.doczj.com/doc/1b6674330.html,/fft/

9、U.S.: https://www.doczj.com/doc/1b6674330.html,/e-books

10、USA: https://www.doczj.com/doc/1b6674330.html,/MindView/

11、United States (python only): https://www.doczj.com/doc/1b6674330.html,

12、USA: https://www.doczj.com/doc/1b6674330.html,/boat/languageBooksIndex.html

13、USA - Boise,ID: https://www.doczj.com/doc/1b6674330.html,/thinkingin

14、USA: https://www.doczj.com/doc/1b6674330.html,/ebooks/eckel/

15、USA: https://www.doczj.com/doc/1b6674330.html,/prog/java/bruceeckel/bruce.html

16、USA: https://www.doczj.com/doc/1b6674330.html,/download/bruceeckel/

17、https://www.doczj.com/doc/1b6674330.html,/books/m ... https://www.doczj.com/doc/1b6674330.html,/text/Reading/

18、https://www.doczj.com/doc/1b6674330.html,/files/

19、https://www.doczj.com/doc/1b6674330.html,/books/m ... https://www.doczj.com/doc/1b6674330.html,/text/Reading/

20、https://www.doczj.com/doc/1b6674330.html,/books/PrenticeBooks/

问题与答案

晶圆制造厂非常昂贵的原因之一,是需要一个无尘室,为何需要无尘室

答:由于微小的粒子就能引起电子组件与电路的缺陷

何谓半导体?

答:半导体材料的电传特性介于良导体如金属(铜、铝,以及钨等)和绝缘和橡胶、塑料与干木头之间。最常用的半导体材料是硅及锗。半导体最重要的性质之一就是能够藉由一种叫做掺杂的步骤刻意加入某种杂质并应用电场来控制其之导电性。

常用的半导体材料为何

答:硅(Si)、锗(Ge)和砷化家(AsGa)

何谓VLSI

答:VLSI(Very Large Scale Integration)超大规模集成电路

在半导体工业中,作为绝缘层材料通常称什幺

答:介电质(Dielectric)

薄膜区机台主要的功能为何

答:沉积介电质层及金属层

何谓CVD(Chemical Vapor Dep.)

答:CVD是一种利用气态的化学源材料在晶圆表面产生化学沉积的制程

CVD分那几种?

答:PE-CVD(电浆增强型)及Thermal-CVD(热耦式)

为什幺要用铝铜(AlCu)合金作导线?

答:良好的导体仅次于铜

介电材料的作用为何?

答:做为金属层之间的隔离

何谓PMD(Pre-Metal Dielectric)

答:称为金属沉积前的介电质层,其界于多晶硅与第一个金属层的介电质

何谓IMD(Inter-Metal Dielectric)

答:金属层间介电质层。

何谓USG?

答:未掺杂的硅玻璃(Undoped Silicate Glass)

何谓FSG?

答:掺杂氟的硅玻璃(Fluorinated Silicate Glass)

何谓BPSG?

答:掺杂硼磷的硅玻璃(Borophosphosilicate glass)

何谓TEOS?

答:Tetraethoxysilane用途为沉积二氧化硅

TEOS在常温时是以何种形态存在?

答:液体

二氧化硅其K值为3.9表示何义

答:表示二氧化硅的介电质常数为真空的3.9倍

氟在CVD的工艺上,有何应用

答:作为清洁反应室(Chamber)用之化学气体

简述Endpoint detector之作用原理.

答:clean制程时,利用生成物或反应物浓度的变化,因其特定波长光线被 detector 侦测

到强度变强或变弱,当超过某一设定强度时,即定义制程结束而该点为endpoint.

机台使用的管件材料主要有那些?

答:有不锈钢制(Stainless Steal),黄铜制(Brass),塑胶制(PVC),特氟隆制(Teflon)四种.

机器维修时要放置停机维修告示牌目的为何?

答:告知所有的人勿操作机台,避免危险

机台维修至少两人配合,有何目的?

答:帮忙拆卸重物,并随时警戒可能的意外发生

更换过任何气体管路上的零件之后,一定要做何动作?

答:用氦气测漏机来做测漏

维修尚未降至室温之反应室(Chamber),应配带何种手套

答:石棉材质之防热手套并宜在80摄式度下始可动作

何为真空(Vacuum)?半导体业常用真空单位是什幺?

答:半导体业通常用Torr作为真空的压力单位,一大气压相当760Torr,低于760Torr压力的环境称为真空.

真空Pump的作用?

答:降低反应室(Chamber)内的气体密度和压力

何谓内部连锁(Interlock)

答:机台上interlock有些属于保护操作人员的安全,有些属于水电气等规格讯号,用以保护机台.

机台设定许多interlock有何作用?

答:机台上interlock主要避免人员操作错误及防止不相关人员动作.

Wafer Scrubber的功能为何?

答:移除芯片表面的污染粒子

ETCH

何谓蚀刻(Etch)?

答:将形成在晶圆表面上的薄膜全部,或特定处所去除至必要厚度的制程。

蚀刻种类:

答:(1) 干蚀刻(2) 湿蚀刻

蚀刻对象依薄膜种类可分为:

答:poly,oxide, metal

半导体中一般金属导线材质为何?

答:鵭线(W)/铝线(Al)/铜线(Cu)

何谓 dielectric 蚀刻(介电质蚀刻)?

答:Oxide etch and nitride etch

半导体中一般介电质材质为何?

答:氧化硅/氮化硅

何谓湿式蚀刻

答:利用液相的酸液或溶剂;将不要的薄膜去除

何谓电浆 Plasma?

答:电浆是物质的第四状态.带有正,负电荷及中性粒子之总和;其中包含电子,正离子,负离子,中性分子,活性基及发散光子等,产生电浆的方法可使用高温或高电压.

何谓干式蚀刻?

答:利用plasma将不要的薄膜去除

何谓Under-etching(蚀刻不足)?

答:系指被蚀刻材料,在被蚀刻途中停止造成应被去除的薄膜仍有残留

何谓Over-etching(过蚀刻 )

答:蚀刻过多造成底层被破坏

何谓Etch rate(蚀刻速率)

答:单位时间内可去除的蚀刻材料厚度或深度

何谓Seasoning(陈化处理)

答:是在蚀刻室的清净或更换零件后,为要稳定制程条件,使用仿真(dummy)晶圆进行数次的蚀刻循环。

Asher的主要用途:

答:光阻去除

Wet bench dryer 功用为何?

答:将晶圆表面的水份去除

列举目前Wet bench dry方法:

答:(1) Spin Dryer (2) Marangoni dry (3) IPA Vapor Dry

何谓 Spin Dryer

答:利用离心力将晶圆表面的水份去除

何谓 Maragoni Dryer

答:利用表面张力将晶圆表面的水份去除

何谓 IPA Vapor Dryer

答:利用IPA(异丙醇)和水共溶原理将晶圆表面的水份去除

测Particle时,使用何种测量仪器?

答:Tencor Surfscan

测蚀刻速率时,使用何者量测仪器?

答:膜厚计,测量膜厚差值

何谓 AEI

答:After Etching Inspection 蚀刻后的检查

AEI目检Wafer须检查哪些项目:

答:(1) 正面颜色是否异常及刮伤 (2) 有无缺角及Particle (3)刻号是否正确

金属蚀刻机台转非金属蚀刻机台时应如何处理?

答:清机防止金属污染问题

金属蚀刻机台asher的功用为何?

答:去光阻及防止腐蚀

金属蚀刻后为何不可使用一般硫酸槽进行清洗?

答:因为金属线会溶于硫酸中

"Hot Plate"机台是什幺用途?

答:烘烤

Hot Plate 烘烤温度为何?

答:90~120 度C

何种气体为Poly ETCH主要使用气体?

答:Cl2, HBr, HCl

用于Al 金属蚀刻的主要气体为

答:Cl2, BCl3

用于W金属蚀刻的主要气体为

答:SF6

何种气体为oxide vai/contact ETCH主要使用气体?

答:C4F8, C5F8, C4F6

硫酸槽的化学成份为:

答:H2SO4/H2O2

AMP槽的化学成份为:

答:NH4OH/H2O2/H2O

UV curing 是什幺用途?

答:利用UV光对光阻进行预处理以加强光阻的强度

"UV curing"用于何种层次?

答:金属层

何谓EMO?

答:机台紧急开关

EMO作用为何?

答:当机台有危险发生之顾虑或已不可控制,可紧急按下

湿式蚀刻门上贴有那些警示标示?

答:(1) 警告.内部有严重危险.严禁打开此门 (2) 机械手臂危险. 严禁打开此门 (3) 化学药剂危险. 严禁打开此门

遇化学溶液泄漏时应如何处置?

答:严禁以手去测试漏出之液体. 应以酸碱试纸测试. 并寻找泄漏管路.

遇 IPA 槽着火时应如何处置??

答:立即关闭IPA 输送管路并以机台之灭火器灭火及通知紧急应变小组

BOE槽之主成份为何?

答:HF(氢氟酸)与NH4F(氟化铵).

BOE为那三个英文字缩写 ?

答:Buffered Oxide Etcher 。

有毒气体之阀柜(VMB)功用为何?

答:当有毒气体外泄时可利用抽气装置抽走,并防止有毒气体漏出

电浆的频率一般13.56 MHz,为何不用其它频率?

答:为避免影响通讯品质,目前只开放特定频率,作为产生电浆之用,如380~420KHz ,13.56MHz,2.54GHz等

何谓ESC(electrical static chuck)

答:利用静电吸附的原理, 将 Wafer 固定在极板 (Substrate) 上

Asher主要气体为

答:O2

Asher机台进行蚀刻最关键之参数为何?

答:温度

简述TURBO PUMP 原理

答:利用涡轮原理,可将压力抽至10-6TORR

热交换器(HEAT EXCHANGER)之功用为何?

答:将热能经由介媒传输,以达到温度控制之目地

简述BACKSIDE HELIUM COOLING之原理?

答:藉由氦气之良好之热传导特性,能将芯片上之温度均匀化

ORIENTER 之用途为何?

答:搜寻notch边,使芯片进反应腔的位置都固定,可追踪问题

简述EPD之功用

答:侦测蚀刻终点;End point detector利用波长侦测蚀刻终点

何谓MFC?

答:mass flow controler气体流量控制器;用于控制反应气体的流量

GDP 为何?

答:气体分配盘(gas distribution plate)

GDP 有何作用?

答:均匀地将气体分布于芯片上方

何谓 isotropic etch?

答:等向性蚀刻;侧壁侧向蚀刻的机率均等

何谓 anisotropic etch?

答:非等向性蚀刻;侧壁侧向蚀刻的机率少

何谓 etch 选择比?

答:不同材质之蚀刻率比值

何谓AEI CD?

答:蚀刻后特定图形尺寸之大小,特征尺寸(Critical Dimension)

何谓CD bias?

答:蚀刻CD减蚀刻前黄光CD

简述何谓田口式实验计划法?

答:利用混合变因安排辅以统计归纳分析

何谓反射功率?

答:蚀刻过程中,所施予之功率并不会完全地被反应腔内接收端所接受,会有部份值反射掉,此反射之量,称为反射功率

Load Lock 之功能为何?

答:Wafers经由loadlock后再进出反应腔,确保反应腔维持在真空下不受粉尘及湿度的影响.

厂务供气系统中何谓 Bulk Gas ?

答:Bulk Gas 为大气中普遍存在之制程气体, 如 N2, O2, Ar 等.

厂务供气系统中何谓Inert Gas?

答:Inert Gas 为一些特殊无强烈毒性的气体, 如NH3, CF4, CHF3, SF6 等.

厂务供气系统中何谓Toxic Gas ?

答:Toxic Gas 为具有强烈危害人体的毒性气体, 如 SiH4, Cl2, BCl3 等.

机台维修时,异常告示排及机台控制权应如何处理?

答:将告示牌切至异常且将机台控制权移至维修区以防有人误动作

冷却器的冷却液为何功用 ?

答:传导热

Etch之废气有经何种方式处理 ?

答:利用水循环将废气溶解之后排放至废酸槽

何谓RPM?

答:即Remote Power Module,系统总电源箱.

火灾异常处理程序

答:(1) 立即警告周围人员. (2) 尝试 3 秒钟灭火. (3) 按下EMO停止机台. (4) 关闭VMB Valve 并通知厂务. (5) 撤离.

一氧化碳(CO)侦测器警报异常处理程序

答:(1) 警告周围人员. (2) 按 Pause 键,暂止 Run 货. (3) 立即关闭 VMB 阀,并通知厂务. (4) 进行测漏.

高压电击异常处理程序

答:(1) 确认安全无虑下,按 EMO键(2) 确认受伤原因(误触电源,漏水等)(3) 处理受伤人员

T/C (传送Transfer Chamber) 之功能为何 ?

答:提供一个真空环境, 以利机器手臂在反应腔与晶舟间传送 Wafer,节省时间.

机台PM时需佩带面具否

答:是,防毒面具

机台停滞时间过久run货前需做何动作

答:Seasoning(陈化处理)

何谓日常测机

答:机台日常检点项目, 以确认机台状况正常

何谓WAC (Waferless Auto Clean)

答:无wafer自动干蚀刻清机

何谓Dry Clean

答:干蚀刻清机

日常测机量测etch rate之目的何在?

答:因为要蚀刻到多少厚度的film,其中一个重要参数就是蚀刻率

操作酸碱溶液时,应如何做好安全措施?

答:(1) 穿戴防酸碱手套围裙安全眼镜或护目镜(2) 操作区备有清水与水管以备不时之需(3) 操作区备有吸酸棉及隔离带

如何让chamber达到设定的温度?

答:使用heater和 chiller

Chiller之功能为何?

答:用以帮助稳定chamber温度

如何在chamber建立真空?

答:(1) 首先确立chamber parts组装完整(2) 以dry pump作第一阶段的真空建立(3) 当圧力到达100mTD寺再以turbo pump 抽真空至1mT以下

真空计的功能为何?

答:侦测chamber的压力,确保wafer在一定的压力下 process

Transfer module 之robot 功用为何?

答:将wafer 传进chamber与传出chamber之用

何谓MTBC? (mean time between clean)

答:上一次wet clean 到这次wet clean 所经过的时间

RF Generator 是否需要定期检验?

答:是需要定期校验;若未校正功率有可能会变化;如此将影响电浆的组成

为何需要对etch chamber温度做监控?

答:因为温度会影响制程条件;如etching rate/均匀度

为何需要注意dry pump exhaust presure (pump 出口端的气压)?

答:因为气压若太大会造成pump 负荷过大;造成pump 跳掉,影响chamber的压力,直接影响到run货品质

为何要做漏率测试? (Leak rate )

答: (1) 在PM后PUMP Down 1~2小时后;为确保chamber Run 货时,无大气进入

chamble 影响chamber GAS 成份(2) 在日常测试时,为确保chamber 内来自大气的泄漏源,故需测漏

机台发生Alarm时应如何处理?

答:(1) 若为火警,立即圧下EMO(紧急按钮),并灭火且通知相关人员与主管(2) 若是一般异常,请先检查alarm 讯息再判定异常原因,进而解决问题,若未能处理应立即通知主要负责人

蚀刻机台废气排放分为那几类?

答:一般无毒性废气/有毒酸性废气排放

蚀刻机台使用的电源为多少伏特(v)?

答:208V 三相

干式蚀刻机台分为那几个部份?

答:(1) Load/Unload 端(2) transfer module (3) Chamber process module (4) 真空系统 (5) GAS system (6) RF system

PHOTO

PHOTO 流程?

答:上光阻→曝光→顯影→顯影後檢查→CD量測→Overlay量測

何为光阻?其功能为何?其分为哪两种?

答:Photoresist(光阻).是一种感光的物质,其作用是将Pattern从光罩(Reticle)上传递到Wafer上的一种介质。其分为正光阻和负光阻。

何为正光阻?

答:正光阻,是光阻的一种,这种光阻的特性是将其曝光之后,感光部分的性质会改变,并在之后的显影过程中被曝光的部分被去除。

何为负光阻?

答:负光阻也是光阻的一种类型,将其曝光之后,感光部分的性质被改变,但是这种光阻的特性与正光阻的特性刚好相反,其感光部分在将来的显影过程中会被留下,而没有被感光的部分则被显影过程去除。

什幺是曝光?什幺是显影?

答:曝光就是通过光照射光阻,使其感光;显影就是将曝光完成后的图形处理,以将图形清晰的显现出来的过程。

何谓 Photo?

答:Photo=Photolithgraphy,光刻,将图形从光罩上成象到光阻上的过程。

Photo主要流程为何?

答:Photo的流程分为前处理,上光阻,Soft Bake, 曝光,PEB,显影,Hard Bake等。

何谓PHOTO区之前处理?

答:在Wafer上涂布光阻之前,需要先对Wafer表面进行一系列的处理工作,以使光阻能在后面的涂布过程中能够被更可靠的涂布。前处理主要包括 Bake,HDMS等过程。其中通过Bake将Wafer表面吸收的水分去除,然后进行HDMS工作,以使Wafer表面更容易与光阻结合。

何谓上光阻?

答:上光阻是为了在Wafer表面得到厚度均匀的光阻薄膜。光阻通过喷嘴(Nozzle)被喷涂在高速旋转的Wafer表面,并在离心力的作用下被均匀的涂布在Wafer的表面。

何谓Soft Bake?

答:上完光阻之后,要进行Soft Bake,其主要目的是通过Soft Bake将光阻中的溶剂蒸发,并控制光阻的敏感度和将来的线宽,同时也将光阻中的残余内应力释放。

何谓曝光?

答:曝光是将涂布在Wafer表面的光阻感光的过程,同时将光罩上的图形传递到Wafer 上的过程。

何谓PEB(Post Exposure Bake)?

答:PEB是在曝光结束后对光阻进行控制精密的Bake的过程。其目的在于使被曝光的光阻进行充分的化学反应,以使被曝光的图形均匀化。

何谓显影?

答:显影类似于洗照片,是将曝光完成的Wafer进行成象的过程,通过这个过程,成象在光阻上的图形被显现出来。

何谓Hard Bake?

答:Hard Bake是通过烘烤使显影完成后残留在Wafer上的显影液蒸发,并且固化显影完成之后的光阻的图形的过程。

何为BARC?何为TARC?它们分别的作用是什幺?

答:BARC=Bottom Anti Reflective Coating, TARC= Top Anti Reflective Coating. BARC是被涂布在光阻下面的一层减少光的反射的物质,TARC则是被涂布在光阻上表面的一层减少光的反射的物质。他们的作用分别是减少曝光过程中光在光阻的上下表面的反射,以使曝光的大部分能量都被光阻吸收。

何谓 I-line?

答:曝光过程中用到的光,由Mercury Lamp(汞灯)产生,其波长为365nm,其波长较长,因此曝光完成后图形的分辨率较差,可应用在次重要的层次。

何谓 DUV?

答:曝光过程中用到的光,其波长为248nm,其波长较短,因此曝光完成后的图形分辨率较好,用于较为重要的制程中。

I-line与DUV主要不同处为何?

答:光源不同,波长不同,因此应用的场合也不同。I-Line主要用在较落后的制程(0.35微米以上)或者较先进制程(0.35微米以下)的Non-Critical layer。DUV则用在先进制程的Critical layer上。

何为Exposure Field?

答:曝光区域,一次曝光所能覆盖的区域

何谓 Stepper? 其功能为何?

答:一种曝光机,其曝光动作为Step by step形式,一次曝整個exposure field,一個一個曝過去

何谓 Scanner? 其功能为何?

答:一种曝光机,其曝光动作为Scanning and step形式, 在一個exposure field曝光時, 先Scan完整個field, Scan完後再移到下一個field.

何为象差?

答:代表透镜成象的能力,越小越好.

Scanner比Stepper优点为何?

答:Exposure Field大,象差较小

曝光最重要的两个参数是什幺?

答:Energy(曝光量), Focus(焦距)。如果能量和焦距调整的不好,就不能得到要求的分辨率和要求大小的图形,主要表现在图形的CD值超出要求的范围。因此要求在生产时要时

刻维持最佳的能量和焦距,这两个参数对于不同的产品会有不同。

何为Reticle?

答:Reticle也称为Mask,翻译做光掩模板或者光罩,曝光过程中的原始图形的载体,通过曝光过程,这些图形的信息将被传递到芯片上。

何为Pellicle?

答:Pellicle是Reticle上为了防止灰塵(dust)或者微塵粒子(Particle)落在光罩的图形面上的一层保护膜。

何为OPC光罩?

答:OPC (Optical Proximity Correction)为了增加曝光图案的真实性,做了一些修正的光罩,例如,0.18微米以下的Poly, Metal layer就是OPC光罩。

何为PSM光罩?

答:PSM (Phase Shift Mask)不同于Cr mask, 利用相位干涉原理成象,目前大都应用在contact layer以及较小CD的Critical layer(如AA,POLY,METAL1)以增加图形的分辨率。

何為CR Mask?

答:傳統的鉻膜光罩,只是利用光訊0與1干涉成像,主要應用在較不Critical 的layer 光罩编号各位代码都代表什幺?

答:例如003700-156AA-1DA, 0037代表产品号,00代表Special code,156代表layer,A 代表客户版本,后一个A代表SMIC版本,1代表FAB1,D代表DUV(如果是J,则代表I-line),A 代表ASML机台(如果是C,则代表Canon机台)

光罩室同时不能超过多少人在其中?

答:2人,为了避免产生更多的Particle和静电而损坏光罩。

存取光罩的基本原则是什幺?

答:(1) 光罩盒打开的情况下,不准进出Mask Room,最多只准保持2个人(2) 戴上手套(3) 轻拿轻放

如何避免静电破坏Mask?

答:光罩夹子上连一导线到金属桌面,可以将产生的静电导出。

光罩POD和FOUP能放在一起吗?它们之间至少应该保持多远距离?

答:不能放在一起,之间至少要有30公分的距离,防止搬动FOUP时碰撞光罩Pod而损坏光罩。

何谓 Track?

答:Photo制程中一系列步骤的组合,其包括:Wafer的前、后处理,Coating(上光阻),和Develop(显影)等过程。

In-line Track机台有几个Coater槽,几个Developer槽?

答:均为4个

机台上亮红灯的处理流程?

答:机台上红灯亮起的时候表明机台处于异常状态,此时已经不能RUN货,因此应该及时Call E.E进行处理。若EE现在无法立即解决,则将机台挂DOWN。

何谓 WEE? 其功能为何?

答:Wafer Edge Exposure。由于Wafer边缘的光阻通常会涂布的不均匀,因此一般不能得到较好的图形,而且有时还会因此造成光阻 peeling而影响其它部分的图形,因此将Wafer Edge的光阻曝光,进而在显影的时候将其去除,这样便可以消除影响。

何为PEB?其功能为何?

答:Post Exposure Bake,其功能在于可以得到质量较好的图形。(消除standing waves)PHOTO POLYIMIDE所用的光阻是正光阻还是负光阻

答:目前正负光阻都有,SMIC FAB内用的为负光阻。

RUN货结束后如何判断是否有wafer被reject?

答:查看RUN之前lot里有多少Wafer,再看Run之后lot里的WAFER是否有少掉,如果有少,则进一步查看机台是否有Reject记录。

何谓 Overlay? 其功能为何?

答:迭对测量仪。由于集成电路是由很多层电路重迭组成的,因此必须保证每一层与前面或者后面的层的对准精度,如果对准精度超出要求范围内,则可能造成整个电路不能完成设计的工作。因此在每一层的制作的过程中,要对其与前层的对准精度进行测量,如果测量值超出要求,则必须采取相应措施调整 process condition.

何谓 ADI CD?

答:Critical Dimension,光罩图案中最小的线宽。曝光过后,它的图形也被复制在Wafer 上,通常如果这些最小的线宽能够成功的成象,同时曝光的其它的图形也能够成功的成象。因此通常测量CD的值来确定process的条件是否合适。

何谓 CD-SEM? 其功能为何?

答:扫描电子显微镜。是一种测量用的仪器,通常可以用于测量CD以及观察图案。

PRS的制程目的为何?

答:PRS (Process Release Standard)通过选择不同的条件(能量和焦距)对Wafer曝光,以选择最佳的process condition。

何为ADI?ADI需检查的项目有哪些?

答:After Develop Inspection,曝光和显影完成之后,通过ADI机台对所产生的图形的定性检查,看其是否正常,其检查项目包括:Layer ID,Locking Corner,Vernier,Photo Macro Defect

何为OOC, OOS,OCAP?

答:OOC=out of control,OOS=Out of Spec,OCAP=out of control action plan

当需要追货的时候,是否需要将ETCH没有下机台的货追回来?

答:需要。因为通常是process出现了异常,而且影响到了一些货,因此为了减少损失,必须把还没有ETCH的货追回来,否则ETCH之后就无法挽回损失。

PHOTO ADI检查的SITE是每片几个点?

答:5点,Wafer中间一点,周围四点。

PHOTO OVERLAY检查的SITE是每片几个点?

答:20

PHOTO ADI检查的片数一般是哪几片?

答:#1,#6,#15,#24; 统计随机的考量

何谓RTMS,其主要功能是什幺?

答:RTMS (Reticle Management System) 光罩管理系统用于trace光罩的History,Status,Location,and Information以便于光罩管理

PHOTO区的主机台进行PM的周期?

答:一周一次

PHOTO区的控片主要有几种类型

答:(1) Particle :作為Particle monitor用的芯片,使用前測前需小於10顆(2) Chuck Particle :作為Scanner測試Chuck平坦度的專用芯片,其平坦度要求非常高(3) Focus :作為 Scanner Daily monitor best 的wafer(4) CD :做為photo區daily monitor CD穩定度的 wafer(5) PR

thickness :做為光阻厚度測量的wafer(6) PDM :做為photo defect monitor的 wafer 当TRACK刚显示光阻用完时,其实机台中还有光阻吗?

答:有少量光阻

当TRACK刚显示光阻用完时,其实机台中还有光阻吗?

答:有少量光阻

WAFER SORTER有读WAFER刻号的功能吗?

答:有

光刻部的主要机台是什幺? 它们的作用是什幺?

答:光刻部的主要机台是: TRACK(涂胶显影机), Sanner(扫描曝光机)

为什幺说光刻技术最象日常生活中的照相技术

答:Track 把光刻胶涂附到芯片上就等同于底片,而曝光机就是一台最高级的照相机. 光罩上的电路图形就是"人物". 通过对准,对焦,打开快门, 让一定量的光照过光罩, 其图像呈现在芯片的光刻胶上, 曝光后的芯片被送回Track 的显影槽, 被显影液浸泡, 曝光的光刻胶被洗掉, 图形就显现出来了.

光刻技术的英文是什幺

答:Photo Lithography

常听说的.18 或点13 技术是指什幺?

答:它是指某个产品,它的最小"CD" 的大小为0.18um or 0.13um. 越小集成度可以越高, 每个芯片上可做的芯片数量越多, 难度也越大.它是代表工艺水平的重要参数.

从点18工艺到点13 工艺到点零9. 难度在哪里?

答:难度在光刻部, 因为图形越来越小, 曝光机分辨率有限.

曝光机的NA 是什幺?

答:NA是曝光机的透镜的数值孔径;是光罩对透镜张开的角度的正玹值. 最大是1; 先进的曝光机的NA 在0.5 ---0.85之间.

曝光机分辨率是由哪些参数决定的?

答:分辨率=k1*Lamda/NA. Lamda是用于曝光的光波长;NA是曝光机的透镜的数值孔径; k1是标志工艺水准的参数, 通常在0.4--0.7之间.

如何提高曝光机的分辨率呢?

答:减短曝光的光波长, 选择新的光源; 把透镜做大,提高NA.

现在的生产线上, 曝光机的光源有几种, 波长多少?

答:有三种: 高压汞灯光谱中的365nm 谱线, 我们也称其为I-line; KrF 激光器, 产生248 nm 的光; ArF 激光器, 产生193 nm 的光;

下一代曝光机光源是什幺?

答:F2 激光器. 波长157nm

我们可否一直把波长缩短,以提高分辨率? 困难在哪里?

答:不可以. 困难在透镜材料. 能透过157nm 的材料是CaF2, 其晶体很难生长. 还未发现能透过更短波长的材料.

为什幺光刻区采用黄光照明?

答:因为白光中包含365nm成份会使光阻曝光,所以采用黄光; 就象洗像的暗房采用暗红光照明.

什幺是SEM

答:扫描电子显微镜(Scan Electronic Microscope)光刻部常用的也称道CD SEM. 用它来测量CD

如何做Overlay 测量呢?

答:芯片(Wafer)被送进Overlay 机台中. 先确定Wafer的位置从而找到Overlay MARK. 这个MARK 是一个方块 IN 方块的结构.大方块是前层, 小方块是当层;通过小方块是否在大方块中心来确定Overlay的好坏.

生产线上最贵的机器是什幺

答:曝光机;5-15 百万美金/台

曝光机贵在哪里?

答:曝光机贵在它的光学成像系统(它的成像系统由15 到20 个直径在200 300MM 的透镜组成.波面相位差只有最好象机的5%. 它有精密的定位系统(使用激光工作台) 激光工作台的定位精度有多高?

答:现用的曝光机的激光工作台定位的重复精度小于10nm

曝光机是如何保证Overlay<50nm

答:曝光机要保证每层的图形之间对准精度<50nm. 它首先要有一个精准的激光工作台, 它把wafer移动到准确的位置. 再就是成像系统,它带来的图像变形<35nm.

在WAFER 上, 什幺叫一个Field?

答:光罩上图形成象在WAFER上, 最大只有26X33mm一块(这一块就叫一个Field),激光工作台把WAFER 移动一个Field的位置,再曝一次光,再移动再曝光。直到覆盖整片WAFER。所以,一片WAFER 上有约100左右Field.

什幺叫一个Die?

答:一个Die也叫一个Chip;它是一个功能完整的芯片。一个Field可包含多个Die;

为什幺曝光机的绰号是“印钞机”

答:曝光机很贵;一天的折旧有3万-9万人民币之多;所以必须充份利用它的产能,它一天可产出1600片WAFER。

Track和Scanner内主要使用什幺手段传递Wafer:

答:机器人手臂(robot), Scanner 的ROBOT 有真空(V ACCUM)来吸住WAFER. TRACK 的ROBOT 设计独特, 用边缘HOLD WAFER.

可否用肉眼直接观察测量Scanner曝光光源输出的光

答:绝对禁止;强光对眼睛会有伤害

为什幺黄光区内只有Scanner应用Foundation(底座)

答:Scanner曝光对稳定性有极高要求(减震)

近代光刻技术分哪几个阶段?

答:从80’S 至今可分4阶段:它是由曝光光源波长划分的;高压水银灯的G-line(438nm), I-line(365nm); excimer laser KrF(248nm), ArF laser(193nm)

I-line scanner 的工作范围是多少?

答:CD >0.35um 以上的图层(LAYER)

KrF scanner 的工作范围是多少?

答:CD >0.13um 以上的图层(LAYER)

ArF scanner 的工作范围是多少?

答:CD >0.08um 以上的图层(LAYER)

什幺是DUV SCANNER

答:DUV SCANNER是指所用光源为Deep Ultra V oliet, 超紫外线.即现用的248nm,193nm Scanner

Scanner在曝光中可以达到精确度宏观理解:

答:Scanner 是一个集机,光,电为一体的高精密机器;为控制iverlay<40nm,在曝光过

2017年中国十大半导体公司排名

2017年中国十大半导体公司排名 2017年已接近尾声,接下来就让小编带你看看最新的中国十大半导体公司排名吧!1、环旭电子(601231)环旭电子股份有限公司是全球ODM/EMS领导厂商,专为国内外品牌电子产品或模组提供产品设计、微小化、物料采购、生产制造、物流与维修服务。环旭电子成立于2003年,现为日月光集团成员之一,于2012年成为上海证券交易所A股上市公司。环旭电子股份有限公司以信息、通讯、消费电子及汽车电子等高端电子产品EMS、JDM、ODM为主,主要产品包括WiFi ADSL、WiMAX、WiFi AP、WiFi Module、Blue-Tooth Module、LED LighTIng & Inverter、Barcode Scanner、DiskDrive Array、网络存储器、存储芯片、指纹辨识器等。2、长电科技(600584)成立于1972年,2003年在上交所主板成功上市。历经四十余年发展,长电科技已成为全球知名的集成电路封装测试企业。长电科技面向全球提供封装设计、产品开发及认证,以及从芯片中测、封装到成品测试及出货的全套专业生产服务。长电科技致力于可持续发展战略,崇尚员工、企业、客户、股东和社会和谐发展,合作共赢之理念,先后被评定为国家重点高新技术企业,中国电子百强企业,集成电路封装技术创新战略联盟理事长单位,中国驰名商标,中国出口产品质量示范企业等,拥有国内唯一的高密度集成电路国家工

程实验室、国家级企业技术中心、博士后科研工作站等。由江阴长江电子实业有限公司整体变更设立为股份有限公司,是中国半导体第一大封装生产基地,国内著名的晶体管和集成电路制造商,产品质量处于国内领先水平。长电科技拥有目前体积最小可容纳引脚最多的全球顶尖封装科技,在同行业中技术优势十分突出。3、歌尔股份(002241)有限公司成立于2001年6月,2008年5月在深交所上市,主要从事微型声学模组、传感器、微显示光机模组等精密零组件,虚拟现实/增强现实、智能穿戴、智能音响、机器人/无人机等智能硬件的研发、制造和销售,目前已在多个领域建立了全球领先的综合竞争力。自上市以来,歌尔保持高速成长,年复合增长率达44.5%。4、中环股份(002129)天津中环半导体股份有限公司成立于1999年,前身为1969年组建的天津市第三半导体器件厂,2004年完成股份制改造,2007年4月在深圳证券交易所上市,股票简称“中环股份”,代码为002129。是生产经营半导体材料和半导体集成电路与器件的高新技 术企业,公司注册资本482,829,608元,总资产达20.51 亿。天津中环股份有限公司致力于半导体节能和新能源产业,是一家集半导体材料-新能源材料和节能型半导体器件-新能 源器件科研、生产、经营、创投于一体的国有控股企业。5、三安光电(600703)三安光电股份有限公司(以下简称“三安光电”或公司,证券代码:600703)是具有国际影响力的全色系

任职资格体系与员工能力发展

任职资格体系与员工能力发展 主讲:范金(人力资源管理专家,5年华为工作经历,历任战略产品部经理、总监等职,参与华为工作分析、任职资格体系设计,以及华为核心业务流程IPD (集成产品开发)、ISC(集成供应链)的设计与优化) 课程对象:高层、中层管理者和人力资源管理专业人士,他们通常担负着获取、培养、评价和激励人才,提高组织效率的工作,本课程将为他们提供“先进理念+工具方法+成功实践案例”。 备注: 1、高级证书申请须同时进行理论考试和提交论文考试,学员在报名参加培训和认证时请提前准备好论文并随理论考试试卷一同提交。 2、凡希望参加认证考试之学员,在培训结束后参加认证考试并合格者,颁发与所参加培训课程专业领域相同之:“香港培训认证中心HKTCC国际职业资格认证中心《国际注册中(高)级人力资源管理(师)》职业资格证书”。(国际认证/全球通行/雇主认可/联网查询)。 3、课程结束后10日内将证书快递寄给学员; 【课程背景】 公司业务发展策略需要在短期内迅速扩充公司业务团队,但对于员工能力培养缺位,人才关键时刻供给满足不了要求 在公司业务人员数量和运营成本迅速提升的同时,经营业绩并没有得到相应的提升,公司对人才的选拔和认证标准不清晰 员工职业发展路径没有打通,员工晋升缺乏合理依据,个人的职位等级和薪酬水平长期无法改善。个人发展空间受到限制,出现人才流失。 【课程价值】 1、学习构建任职资格标准的方法和认证 2、学习建立人员双重晋升机制 3、了解如何规范员工培养和选拔以持续提升员工能力并给予合理评价 4、学习建立职业通道,保证员工能力与岗位要求相匹配,促进高绩效团队形成

5、树立有效培训和自我学习的标杆,以资格标准不断牵引员工终生学习、不断改进,构筑学习型组织,促进企业的可持续发展。 【学习成果】 理念先行:概念厘清,理解素质模型/胜任力、任职资格的内涵 方法跟进:掌握任职资格体系的基本设计方法 学以致用:掌握基于任职资格体系的核心人才管理典型应用解决方案 经验分享:分享国内若干行业领先企业推行实施任职资格体系的经验与教训【课程特色】 “以企业面临的人才选拔、人才培养、人才激励的核心问题为导向”,帮助学员如何将任职资格方法成功运用到所在企业的实际环境中去。整个教学过程将采用关键要点案例分析、最佳实践经验分享、分组行动学习演练等多种方式,最大限度的实现与企业实际工作的对接。 【课程主题】 任职资格体系究竟可以解决企业的哪些关键问题? 战略导向的任职资格体系:战略地图——业务地图——能力地图 如何构建基于任职资格的核心人才管理解决方案(选拔/培养/激励)? 企业任职资格体系推行实施要点,如何保证任职资格体系执行落地? 案例分享:各行业优秀企业任职资格管理的最佳实践! 【培训内容】 一、任职资格的价值典范 1、中国企业面临的核心人才困境 a)专业技术人才,特别是研发人才的职业发展规划、专业等级评价,以及薪酬激励问题如何解决? b)针对某个目标岗位,如何准备识人、用人,选拔人才? c)如何快速培养人才?如何培养后备人才梯队? 2、能力管理的正本清源——厘清素质模型、胜任力、领导力、任职资格等相关概念 对比分析:某IT企业研发人才的素质模型,某企业人力资源管理岗位序列的胜

半导体技术期末复习

半导体技术期末复习集团文件发布号:(9816-UATWW-MWUB-WUNN-INNUL-DQQTY-

1.20世纪上半叶对半导体产业发展做出贡献的4种不同产业。P2 答:真空管电子学、无线电通信、机械制表机、固体物理 2.列出5个集成时代,指出每个时代的时间段,并给出每个时代每个芯片上的元件数。P4 小规模集成电路 20世纪60年代前期 2-50个芯片 中规模集成电路 20世纪60年代到70年代前期 20-5000个芯片 大规模集成电路 20世纪70年代前期到70年代后期 5000-100000个芯片 超大规模集成电路20世纪70年代后期到80年代后期个芯片 甚大规模集成电路 20世纪90年代后期至今大于1000000个芯片 3.列出提高微芯片制造技术相关的三个重要趋势,简要描述每个趋势。P8 1、提高芯片性能:提高速度和降低功耗。1)、器件做的越小,芯片上的器件就越多,芯片的速度就提高;2)、使用材料,通过芯片表面的电路和器件来提高电信号的传输。 2、提高芯片可靠性 3、降低芯片成本 原因:根本原因是得益于CD尺存的减小;半导体产品市场的大幅度增长。 4.什么是芯片的关键尺寸?这种尺寸为何重要?P9 芯片的物理尺寸特征被称为特征尺寸,最小的特征尺寸称为关键尺寸。

将CD作为定义制造复杂性水平的标准,也就是如果你拥有在硅片上制造某种CD的能力,那你就能加工其他所有特征尺寸,由于这些尺寸更大,因此更容易生产。例如,如果芯片上的最小尺寸是0.18um,那么这个尺寸就是CD。半导体产业使用“技术节点”这一术语描述在硅片制造中使用的可应用CD . 5.什么是摩尔定律?它预测了什么?这个定律正确吗?P10 1964年摩尔预言在一块芯片上的晶体管数大约每隔一年翻一番(后来在1975年被修正为预计每18个月翻一番)。摩尔定律惊人的准确! 6.以B掺入Si中为例,说明什么是受主杂质、受主杂质电离过程和P型半导体。 在硅晶体中掺入硼,硼是Ⅲ族元素,硼替代原有硅原子位置,由于Ⅲ族元素最外层只有3个价电子,与周围硅原子产生共价键时,产生一个空穴,而本身接受一个电子称为带负电的离子,通常我们称这种杂质为受主杂质。这种半导体主要依靠受主提供的空穴导电,这种依靠空穴导电的半导体称为p型半导体。 7.以As掺入Ge中为例,说明什么是施主杂质、施主杂质电离过程和N 型半导体。 在As中掺入Ge , Ge 是V族元素杂质, Ge杂质会替代原来硅原子的位置,与周围的硅原子形成共价键,多余的一个电子便成了能够导电的自由电子,本身变成带正电的离子,通常我们称这种杂质为施主杂质。这种半导体依靠施主提供的电子导电,这种依靠电子导电的半导体称为n型半导体。

漫谈组织行为理论与企业管理实践

漫谈组织行为理论与企业管理实践 关健词:企业管理;组织行为;组织学习;压力管理 摘要:文章阐述了组织行为理论在企业管理中的必要性和重要性,并提出了具体的实践应用途径。 组织行为理论主要研究个体、群体以及组织结构对组织内部行为的影响,以便应用这些知识来提高组织的有效性。它对组织管理中的工作岗位、缺勤、员工流动、生产率、绩效等方面的管理控制具有重要的作用。组织行为具体体现在价值观、激励、气质、性格、兴趣、能力、群体决策、群体结构与过程、组织文化等方面。企业管理的核心问题是人的问题,因此组织行为理论在我国企业发展与管理中的广泛应用非常必要。 一、组织行为理论应用于企业管理的重要性 (一)组织行为理论的应用为企业实现跨越发展与管理奠定基础。 组织行为理论重在研究组织中员工的态度、行为和业绩,包括研究员工各种需要、行为、人与人之间的关系、个人与集体之间的关系,研究上述诸因素与组织目标的关系,并据此进一步研究改进生产环境、组织结构、管理方法,协调人际关系,旨在从精神上、物质上引导员工充分发挥他们的劳动创造性和工作积极性,提高工作效率和工作效益。其理论的思想基础是“社会人”,它着眼的基本点是将人作为管理活动的核心。特别是企业进人成熟期后引人组织行为理论来管理企业,可有效消除了迈向跨越过程中员工工作满意度下降、人才流失等严重弊端,有效地形成企业的凝聚力和团队精神,充分地激发员工的创造性和积极性,为企业的腾飞奠定强有力的人力资源基础。 诚度,实际上是在充分运用组织行为理论,其在人才选用上重视对员工实施培训、规划员工职业生涯,培养有冲劲、有头脑的人,而非单纯寻找技术人员。据统计,其技术人员跳槽仅占7%,而技术人员无疑在微软的跨越发展中发挥着巨大的作用。劳资同权、人力资源核心论、尊重人性,是松下公司运用组织行为理论推动企业扩张的集中表现。全员参与、因势利导、以人为本,则是海尔集团运用组织行为理论实现跨越、壮大的集中表现。 (二)组织行为理论的应用增强现代企业管理制度的活力。

如何构建真正的员工职业发展体系

如何构建真正的员工职业发展体系 管理机制及配套体系主要包括: 组织体系支持 1、管理支持 员工职业发展体系建设是一项涉及思维方式、价值理念和治理方式的管理变革举措,是一项涉及到培训、绩效、薪酬体系设计的系统工程,关系到每一位管理者及员工的切身利益。而一个管理变革和系统工程的推进和实施必然需要投入资源、产生成本,也会面临一定的困难、挑战,甚至风险。 如果失去高层领导及各级管理者的支持和推动,项目推进实施的难度会非常大。因此必须想法设法取得高层领导及各级管理者的认可与支持,促使他们积极投身于这一体系的倡导与推动。 那么如何去争取管理支持呢?一句话,抓住他们的诉求与“痛点”,清晰阐述这一体系对他们的价值点与利益点,帮助他们解决实际问题。例如:

对高层领导来说,我如何推动企业战略落地,如何实现我的“情怀”,如何在激烈市场竞争中存活和发展,如何达成业务目标…这些或许就是他们考虑的问题:组织、战略、人才。而员工职业发展体系正是盘活人才资源,强化组织能力,打造组织竞争优势,推动战略目标达成的重要人才策略;同时,员工职业发展体系的设计还可以将企业对员工价值观、行为、绩效产出的期望融入其中,成为推动员工价值观念、思维方式和行为方式转变的“导向器”,营造一个进取、创新的组织文化氛围。 对各级管理者而言,我如何调动下属的工作积极性、如何有效激励和保留我的核心人才、如何去激发员工潜能、带好队伍、达成我的部门业绩指标…这些问题是他们考虑的重点。而员工职业发展体系可以通过拓宽员工职业发展通道、设计能力标准、给予相应激励回报,不断牵引员工自我提升,有效激励和保留人才队伍,从而推动部门业务目标有效达成,是他们进行团队管理的利器。 简而言之,可以通过深度访谈和日常的沟通交流等方式不断挖掘他们的“业务痛点”和“管理痛点”,并在合适的时机不断“灌输”这一体系对满足他们诉求、解决他们痛点的有效性和价值,不断引导,并赢得他们对这一体系的价值认可,直至获得管理支持与推动。 2、机制建设和职能分工 员工职业发展体系的建设与运营,是一项涉及范围广、工作量大的工作,需要建立相应的组织机构或配备承担相应职能的人员,才能顺利推进和实施。这些职能主要包括:员工职业发展体系的整体规划及实施推进; 员工职业发展体系方针政策及相关制度规则的制定与完善; 任职资格标准的开发与完善; 考核测试题库的开发与更新; 员工任职资格认证评审工作的组织及实施;

2019年晶圆代工行业华虹半导体分析报告

2019年晶圆代工行业华虹半导体分析报告 2019年7月

目录 一、全球领先的特色工艺纯晶圆代工企业 (5) 二、Fabless长期增长属性更强,下半年产业景气度上行压力仍存.. 9 1、Fabless长期增长属性更强,公司受产业周期影响相对较小 (9) 2、行业周期底部已过,然下半年产业景气度上行压力仍存 (12) 三、专注特色工艺,定位细分市场 (17) 1、嵌入式非易失性存储器:下游需求稳步增长 (18) (1)工艺不断改善,有望持续受益智能卡市场的稳步增长 (18) (2)MCU:持续受益物联网的应用以及汽车电动化与智能化的逐步渗透 (23) 2、功率分立器件:快速增长趋势有望继续保持 (33) 3、模拟与电源管理:汽车电子产品占比有望逐步提高 (41) 四、折旧与研发占比相对平稳,毛利率改善助推利润率稳步提升 . 45 1、Foundry市场格局:公司全球排名第十 (45) 2、收入(供给端):产能稳步增长,利用率处相对低点,ASP 逐季攀升 .. 46 3、收入(需求端-按制程):专注特色工艺,加权平均制程较高 (48) 4、收入(需求端-按下游应用):公司来源于消费电子的收入占比较高 (49) 5、收入(需求端-按地域):本土收入占比最高 (50) 6、盈利能力:折旧与研发占比相对平稳,毛利率改善助推利润率稳步提升 (52) 7、无锡项目有望于4Q量产,收入占比有望逐步提升 (55) 五、盈利预测 (56) 六、主要风险 (58)

1、中美贸易格局改变的风险 (58) 2、全球宏观经济不及预期的风险 (58) 3、公司无锡项目折旧政策的不确定性风险 (59) 4、无锡项目获得政府补助不及预期的风险 (59)

浅谈对企业管理的认识和理解1.doc

浅谈对企业管理的认识和理解1 《企业战略管理》 论文题目:浅谈对企业战略管理的认识和理 解 姓名:王飞 班级:市场营销1212 浅谈对企业战略管理的认识和理解 在一学期的战略管理学习中,首先是知识性的掌握,对战略管理这门课程有了较为系统性的认识,从其概念、特点以及目标、战略选择、实施等方面深入掌握了这门学科理论知识。 首先是对企业战略管理的概念的认识,它是企业确定其使命,根据组织外部环境和内部条件设定企业的战略目标,为保证目标的正确落实和实现进行谋划,并依靠企业内部能力将这种谋划和决策付诸实施,以及在实施过程中进行控制的一个动态管理过程。战略管理的性质,第一点:战略管理是整合性管理理论,是企业最高层次的管理理论。我们之前学习过很多管理理论,例如,生产运作管理,市场营销管理等理论。这些理论都是从企业局部(生产,销售等)来讨论企业管理问题,而企业战略管理是从企业整体角度从发,综合多方面的管理理论基础,处理企业整体和全面的管理问题,使企业的管理工作达到整体最优的水平。 从层次来说,战略管理理论是最高层次的管理理论。从20

世纪初泰罗创立科学管理理论以来,企业管理理论有了极大的发展,尤其是第二次世界大战后,管理理论的大发展使人们进入了“管理森林”时代。各种管理学说不断涌现,而战略管理是管理理论中最高层次的和整合性的管理理论。第二:战略管理是企业高层管理人员最重要的活动和技能。对于企业高层管理者来说,最重要的活动是制定战略和推进战略管理,以保证企业整体的有效性。第三:战略管理的目的是提高企业对外部环境的适应性,使企业能够做到可持续发展。在当今时代,企业的外部环境既复杂多样,又动荡多变,时刻发生着变化。在这样的环境下,企业的高层管理者在制定战略时必须要结合企业内外部环境,做到战略能够以不变应万变,提高企业的适应能力。 个人认为企业战略管理的第三个性质是企业战略管理目标中最为重要的,是优秀的战略必须要做到的。在学习过程中,关注企业战略制定方法的知识是学习战略管理的重点。 企业战略管理的发展历程 一、经典的战略管理理论阶段 20世纪60年代初美国著名管理学家钱德勒发表了《战略与结构:工业企业史的考证》一书。钱德勒在这本著作中,分析了环境、战略和组织之间的相互关系,提出了他的著名论点“结构追随战略”。其主要观点认为组织结构设计要提到战略的高度,强调组织结构随战略调整而相应调整。关于战略构造问题的研究因此形成两个相近的学派:“设计学派”和“计划学派”。 “设计学派”以美国哈佛商学院的安德鲁斯教授为代表。“设计学派”认为,在制定战略的过程中要分析企业的优势与劣势、

员工关怀体系核心组成部分

员工关怀体系核心组成部分一.员工的重要性。 1.员工的重要性体现: 1.1具体介绍员工重要性和对企业的价值。 2.优秀员工与差员工的差别: 2.1优秀员工的优秀品质及发挥的作用 2.2差员工所造成的危害与对企业未来发展的影响 措施:建立员工关怀体系,发挥员工的重要性作用 二.员工关怀的意义 1.什么是员工关怀体系 2.为什么要员工关怀 3.员工关怀要达到的效果是什么 三.员工关怀的对象 1.新员工的关怀 1.1新员工关怀的意义及关注要点 1.2新员工的转变及文化融合 关怀措施: 2.1入职培训 2.2员工座谈 2.3结对子 2.4对员工家庭生活的关怀 2.长期出差及外派员工关怀 1.1长期外出员工的含义及关注要点 关怀措施: 2.1工作关怀 2.2家庭关怀 2.3持续关怀 3.核心人才关怀 1.1核心人才的含义及关注要点 关怀措施: 2.1工作关怀 2.2健康关怀

2.3家庭关怀 4.普通员工关怀 1.1普通员工的含义及关注要点 关怀措施: 2.1培训辅导 2.2工作激励 2.3日常关怀 四.员工的沟通管理技巧 1.沟通的技巧 1.1沟通的定义 2.1沟通的原则 沟通的特点 3.1沟通在企业中的作用 4.1沟通的种类及结构 4.1.1正式沟通与非正式沟通 4.1.2下行沟通、上行沟通、平行沟通 4.1.3单向沟通与双项沟通 4.1.4语言沟通与非语言沟通 5.1沟通的结构 5.1.1环式、轮式、链式、全渠道式和Y型式 6.1沟通方式 6.1.1走动式管理 6.1.2工作间歇时沟通 6.1.3非正式会议 7.1不同性格员工的沟通技巧 7.1.1胆质汁型沟通技巧 7.1.2多血质气质沟通技巧 7.1.3粘液质气质沟通技巧 7.1.4抑郁质气质沟通技巧 案例IBM的沟通管理 2.员工关系管理技巧 1.115点建议全方面关系员工良好管理 2.180.90后管理困境之前因 2.1.1自我修养失衡—有知识没修养 2.1.2家庭教育失衡—有养育没教育 2.1.3学校教育失衡—有分数没素质 2.1.4企业管理物性—有物性没人性 2.1.5社会管理失衡—有生存没发展 3.1 80.90后管理困境之现状

国际半导体技术发展路线图

国际半导体技术发展路线图 为了回答如何保持半导体产业按照摩尔定律继续发展的问题,国际上主要的半导体协会共同组织制定了国际半导体技术发展路线图 ITRS《International technology roadmap for semiconductors》它为半导体产业界提供了被工业界广泛认同的;对未来十年内研发需求的最佳预测以及可能的解决方案,它对整个半导体茶叶需要开发什么样的技术起到了一个导向作用。 国际半导体技术发展路线图 一、半导体产业生态环境 半导体产业诞生于上世纪70年代,当时主要受两大因素驱动:一是为计算机行业提供更符合成本效益的存储器;二是为满足企业开发具备特定功能的新产品而快速生产的专用集成电路。 到了80年代,系统规范牢牢地掌握在系统集成商手中。存储器件每3年更新一次半导体技术,并随即被逻辑器件制造商采用。 在90年代,逻辑器件集成电路制造商加速引进新技术,以每2年一代的速度更新,紧跟在内存厂商之后。技术进步和产品性能增强之间不寻常的强相关性,使得相当一部分系统性能和利润的控制权转至集成

电路(IC)制造商中。他们利用这种力量的新平衡,使整个半导体行业收入在此期间年均增速达到17%。 21世纪的前十年,半导体行业全新的生态环境已经形成: 一是每2年更新一代的半导体技术,导致集成电路和数以百万计的晶体管得以高效率、低成本地生产,从而在一个芯片上或同一封装中,可以以较低的成本整合极为复杂的系统。此外,封装技术的进步使得我们可以在同一封装中放置多个芯片。这类器件被定义为系统级芯片(system on chip,SOC)和系统级封装(system in package, SIP)。 二是集成电路晶圆代工商能够重新以非常有吸引力的成本提供“新一代专用集成电路”,这催生出一个非常有利可图的行业——集成电路设计。 三是集成电路高端设备的进步带动了相邻技术领域的发展,大大降低了平板显示器、微机电系统传感器、无线电设备和无源器件等设备的成本。在此条件下,系统集成商再次控制了系统设计和产品集成。 四是互联网应用和移动智能终端的崛起,带动了光纤电缆的广泛部署和多种无线技术的发展,实现前所未有的全球移动互联。这个生态系统创造了“物联网”这一新兴的市场,而创新的产品制造商、电信公司、数据和信息分销商以及内容提供商正在争夺该市场的主导权。

SMIC员工漫谈半导体代工企业内幕

SMIC员工漫谈半导体代工企业内幕(转载) 最近有不少的弟兄谈到半导体行业,以及SMIC、Grace等企业的相关信息。 在许多弟兄迈进或者想要迈进这个行业之前,我想有许多知识和信息还是需要了解的。正在半导体制造业刚刚全面兴起的时候,我加入了SMIC,在它的Fab里做了四年多。历经SMIC生产线建立的全部过程,认识了许许多多的朋友,也和许许多多不同类型的客户打过交道。也算有一些小小的经验。就着工作的间隙,把这些东西慢慢的写出来和大家共享。 阅读下文之前可以先参看后面的词汇表,便于理解本文内容。 从什么地方开始讲呢?就从产业链开始吧。有需求就有生产就有市场。 市场需求(或者潜在的市场需求)的变化是非常快的,尤其是消费类电子产品。这类产品不同于DRAM,在市场上总是会有大量的需求。也正是这种变化多端的市场需求,催生了两个种特别的半导体行业——Fab和Fab Less Design House。 我这一系列的帖子主要会讲Fab,但是在一开头会让大家对Fab周围的东西有个基本的了解。像Intel、Toshiba这样的公司,它既有Design的部分,也有生产的部分。这样的庞然大物在半导体界拥有极强的实力。同样,像英飞凌这样专注于DRAM的公司,活得也很滋润。至于韩国三星那是个什么都搞的怪物。这些公司,他们通常都有自己的设计部门,自己生产自己的产品。有些业界人士把这一类的企业称之为IDM。 但是随着技术的发展,要把更多的晶体管集成到更小的Chip上去,Silicon Process的前期投资变得非常的大。一条8英寸的生产线,需要投资7~8亿美金;而一条12英寸的生产线,需要的投资达12~15亿美金。能够负担这样投资的全世界来看也没有几家企业,这样一来就限制了芯片行业的发展。准入的高门槛,使许多试图进入设计行业的人望洋兴叹。 这个时候台湾半导体教父张忠谋开创了一个新的行业——foundry。他离开TI,在台湾创立了TSMC,TSMC不做Design,它只为做 Design的人生产Wafer。这样,门槛一下子就降低了。随便几个小朋友,只要融到少量资本,就能够把自己的设计变成产品,如果市场还认可这些产品,那么他们就发达了。同一时代,台湾的联华电子也加入了这个行当,这就是我们所称的UMC,他们的老大是曹兴诚。——题外话,老曹对七下西洋的郑和非常钦佩,所以在苏州的UMC友好厂(明眼人一看就知道是 UMC在大陆偷跑)就起名字为“和舰科技”,而且把厂区的建筑造的非常有个性,就像一群将要启航的战船。 ----想到哪里就说到哪里,大家不要见怪。 在TSMC和UMC的扶植下,Fab Less Design House的成长是非常可观的。从UMC中分离出去的一个小小的Design Group成为了著名的“股神”联发科。当年它的VCD/DVD相关芯片红透全世界,股票也涨得令人难以置信。我认识一个台湾人的老婆,在联发科做Support 工作,靠它的股票在短短的四年内赚了2亿台币,从此就再也不上班了。 Fab Less Design House的成功让很多的人大跌眼镜。确实,单独维持Fab的成本太高了,所以很多公司就把自己的Fab剥离出去,单独来做Design。 Foundry专注于Wafer的生产,而Fab Less Design House专注于Chip的设计,这就是分工。大家都不能坏了行规。如果Fab Less Design House觉得自己太牛了,想要自建Fab来生产自己的Chip,那会遭到Foundry的抵制,像UMC就利用专利等方法强行收购了一家Fab Less Design House辛辛苦苦建立起来的Fab。而如果Foundry自己去做Design,那么 Fab Less Design House就会心存疑惑——究竟自己的Pattern Design会不会被对方盗取使用?结果导致Foundry的吸引力降低,在产业低潮的时候就会被Fab Less Design House抛弃。 总体来讲,Fab Less Design House站在这个产业链的最高端,它们拥有利润的最大头,

浅谈企业管理

浅谈企业管理 摘要本文主要就企业如何发展以及解决问题进行了一定的论证和阐述,并提出了一些自己的看法 关键词决策的关键核心竞争力企业文化资金管理方法和风格执行力细节管理 一.决策的关键,是要控制在你能承受的范围内 当你要做个决定时----譬如你决定要去创业、或者你的企业准备要扩张进入新的领域----这就是决策。回顾过去,当我们看到史玉拄们的惨败,当我们学习了管理知识后,我们懂得了一些基本的道理:譬如说决策失误是最大的失误,决策的过程是要科学化民主化的。。。当你真的“科学化”了去做决策时,你忽然发现,你依旧会做出错误的失败的决策来。这时,你会对管理知识产生怀疑。事实上,并非管理知识错了,而是你自己“形而上”了。决策的本质,就是具有不确定性和风险性的----而这些,是无论你事前做了多么细致周到的准备,它都是依然存在的。决策的关键,不是决策的对与错,而是你所做的决策,要控制你能承担的起的范围内。譬如说在个晴朗的早晨,你未带伞出门,可到了中午却下雨了,此时你很难说你未带伞的决策是对是错,即使你懂得管理知识,你事先收集了当天的所有的天气情报,可依旧会“天有不测风云的”。此时,你未带伞的决策事实已经不重要了,重要的是由于你未带伞而由此带来的全身尽湿,你的身体是否能承受雨淋才是关键的。当我们回头看那些失败的企业案例,我们就会

发现其决策本身,大都是不在其能够承受的范围内,都是赌徒和投机似的决策。很难为“承受的范围内”划一个界线或找到个度的。也许,刘欢的一首“从头再来”,可以算是个界线吧?决策另一个常被忽视的问题,就是决策的执行问题。当你做个决策,在事实上失败后,你可能会认为决策错误,事实是决策未错,而是执行决策中出了错,譬入人才资金等等。此时你“怪罪”于决策的话,你依旧无法看清企业中人财物等因素的不足和缺陷,今后你仍会“决策失误”的。当你明白了这些后,你会发现真正的决策拍板时,不是那些科学化的东西在左右你,而是你的全面素质甚至于是直觉在起作用。你会对MBA拿来的激情四射的报告,平静的说:你先放这吧,我会看的。此时,你已经经历了从混沌(跟着感觉走)----学习(茅塞顿开尊重一般规律)----返朴归真(简单直觉判断)。最后,所有的决策在拍板的剎那,都会归结于你的胆魄与直觉!请相信你自己的胆魄和直觉,否则学过管理学的人都可以成为企业家了,连计算机都可以做决策了。这时候你知道了,所谓管理专家们说的史玉拄们的决策失败原因,其实是为理论而理论的胡说。表面上看,你是从胆魄直觉----胆魄直觉,实际上你是大彻大悟到返朴归真。当你懂得了决策和决策执行的一般方法后,请勿由此变得缩手缩脚甚至于丢掉了你胆识和魄力,否则,你就丢掉了你身上做宝贵的东西,你也不再是“你”了。 二.你的企业能活多久,取决于你的核心竞争力 你以前从未问过自己:我的企业能活多久?因为你不懂管理,你在跟着感觉走,自然不知道“企业战略”的概念。当你学习了这些知识后,

半导体工艺及芯片制造技术问题答案(全)

常用术语翻译 active region 有源区 2.active ponent有源器件 3.Anneal退火 4.atmospheric pressure CVD (APCVD) 常压化学气相淀积 5.BEOL(生产线)后端工序 6.BiCMOS双极CMOS 7.bonding wire 焊线,引线 8.BPSG 硼磷硅玻璃 9.channel length沟道长度 10.chemical vapor deposition (CVD) 化学气相淀积 11.chemical mechanical planarization (CMP)化学机械平坦化 12.damascene 大马士革工艺 13.deposition淀积 14.diffusion 扩散 15.dopant concentration掺杂浓度 16.dry oxidation 干法氧化 17.epitaxial layer 外延层 18.etch rate 刻蚀速率 19.fabrication制造 20.gate oxide 栅氧化硅 21.IC reliability 集成电路可靠性 22.interlayer dielectric 层间介质(ILD) 23.ion implanter 离子注入机 24.magnetron sputtering 磁控溅射 25.metalorganic CVD(MOCVD)金属有机化学气相淀积 26.pc board 印刷电路板 27.plasma enhanced CVD(PECVD) 等离子体增强CVD 28.polish 抛光 29.RF sputtering 射频溅射 30.silicon on insulator绝缘体上硅(SOI)

先进半导体设备制造技术及趋势_图文(精)

先进半导体设备制造技术及趋势 张云王志越 中国电子科技集团公司第四十五研究所 摘要:本文首先介绍了国内外半导体设备市场,认为市场虽有起伏,但前景良好。从晶圆处理和封装的典型设备入手介绍了当前最先进半导体设备技术,之后总结出半导体设备技术发展的四大趋势

。 1国内外半导体设备市场 根据SEMI的研究,2006年全球半导体设备市场为388.1亿美元,较2005年增长18%,主要原因是各地区投资皆有一定程度的成长,少则20%(日本),多则229%(中国大陆),整体设备订单成长率则较2005年成长51%,比2005年底预测值多出28.4亿美元。 SEMI在SEMICONJapan展会上发布了年终版半导体资本设备共识预测(SEMICapitalEquipmentCon-sensusForecast),预计2007年全球半导体制造设备市场销售增长减缓为3%,达到416.8亿美元;2008年全球半导体设备市场将出现衰退,下滑1.5%;而到2009年及2010年恢 长6%达到306.1亿美元,封装设备领域增长11%至27.2亿美元,而测试设备领域预计将出现15%下滑 了12.4%。表二为按地区划分的市场销售额,包括往年的实际销售额和未来的预测。

虽然半导体设备市场有一定的起伏,但是很明显,市场的前景非常好,总体一直是稳中有升。中国大陆2006年半导体设备销售额超过23亿美元,比2005年增长了74.4%,中国大陆的市场销售额一直呈上升趋势,国内半导体设备具有非常诱人的市场前景。这和中国半导体产业的快速发展有着直接关系,中国的市场也越来越引起国际半导体设备厂商的重视,投资的力度会越来越大,对我们国内半 复增长,预计实现高个位数增速,至54.7亿美元。表一为按设备类型2010年销售额达到479.9亿美元。 SEMI总裁兼CEOStanleyT.Myers表示,2007年半导体制造、封 划分的市场销售额,包括往年的实际销售额和未来的预测。 从区域市场分析,北美、日本及 下降装及测试设备销售情况略高于去年,欧洲半导体设备市场出现下滑,成为业界历史上销售额第二高的一年。SEMI成员将继续推进半导体制造设备的强势增长,预计到2010年市场销售额达到480亿美元。 从设备类型分析,占有最大份额的晶圆处理设备领域2007年将增 幅度分别为8.9%、3.1%及11.7%;而台湾和中国大陆销售增长幅度最大,分别为28.9%和23.8%,台湾地区销售额达到94.2亿美元,有史以来第二次超过日本;南韩市场略微增长5.2%,其余地区市场也下降 40半导体行业

半导体十年巨变(精)

前言 回顾2000年至今的半导体业发生了巨大的变化,产业的销售额从2000年的2000亿美元增加到2011年的近3000亿美元,统计此阶段的年均增长率己从过去的近17%下降到6%左右。 近十年中从产业的环境出现过一次2001年的互联网泡沫破裂,导致产业有两年的调整,积聚能量直至2004年再次跃起。此后由于12英寸硅片的导入,产业开始又一轮的产能扩充竞赛,直至2008年Q4全球金融危机的爆发。由于金融危机属于外部因素,在那时产业的基体仍是相当健康,因此仅用6个季度时间半导体业又重新开始复苏,加上终端电子产品市场如苹果的iPhone,iPad等兴起,并且来势十分强劲,导致2010年半导体业进入又一个历史的高点,增长达32%。2011年对于全球半导体业是个让人感觉十分怪异,原本以为在2010年高增长的动能驱使下,产业至少该有10%左右的增长,实际上由于产业的迅速回调,半导体产业又进入一轮下降通道,导致2011年仅只有1%的增长,并预测2012年的增长在5%左右。 业界都认为全球经济的大环境,包括GDP,消费者信心指数,失业率等将左右未来的半导体业。由此表明两个方面;一个是半导体自身增长动能不足,另一个是产业日趋成熟。未来半导体业可能再难有两位数以上的成长。 感谢英特尔两次革命性的技术突破 摩尔定律激励半导体业进步,它要求每两年按尺寸等比缩小70%,前进一个台阶,至2011年时己达22纳米,发现一步也没有偏离,要感谢英特尔为产业立下汗马功劳。 按ITRS路线图,2005年已进入65纳米工艺节点,此时二氧化硅的沟道厚度只有2纳米,相当于5个硅原子厚度,随时可能因缺陷等因素发生漏电流大量上升,而导致器件失效。英特尔于2007年及时的开发出高k金属栅工艺,相当于把沟道的等值厚度提高。 摩尔称这项创新是“20世纪60年代多晶硅栅极MOS晶体管出现以来,晶体管技术的最大变化”。甚至《时代》杂志认为,英特尔Penryn微处理器是2007年最佳发明之一。把定律至少又延伸十年。 另一次是2011年的22纳米节点3D晶体管结构。据北京《华尔街日报》报道,它公布的2011年美国“科 技创新奖”,英特尔的3-D三栅极晶体管设计获得半导体类别创新大奖。英特尔的3-D三栅极晶体管结构代表着从2-D平面晶体管结构的根本性转变。这项革命性成果,其关键在于英特尔能够把全新的3-D三栅极晶体管投入批量生产,开启了摩尔定律的又一个新时代。与之前的32纳米2D平面晶体管相比,22纳米3-D

论文 浅谈企业管理创新

论文摘要 面对着激烈的市场竞争,增强国有企业的生命力,企业必须面 对市场进行持续的管理创新,以提高企业竞争能力。 企业管理创新,是在传统企业管理基础上创造的更有效的整合 企业有限资源,创造出新的管理手段。改革开放以来,中国企业的 经营状况,充分证明,加强和改善企业管理,根本之道在于不断进 行以质量为导向和以适应市场为模式的企业管理创新是企业经营成 败与兴衰的关键。 首先,企业管理创新必须要以“质量第一”为导向。这是因为,企业取得效益的基础是质量,质量是企业管理永恒的主题,质量管 理是企业管理的纲。 其次,企业管理创新必须要以适应市场为模式,以质量管理为 核心。在市场经济条件下,市场是企业生存的空间,质量是企业进 入市场的特别通行证,因此,企业管理创新必须围绕市场,市场决 定企业管理创新的成败。 第三,企业管理创新要以“质量经营”为特色。质量管理思维 已成为当今企业管理层第一经营意识,质量管理在企业管理中具有 中心第一地位,只有有效的质量管理,改善质量管理才能带动企业 其他工作,从根本上解决企业管理工作中的各种矛盾。 第四,不断强化质量管理,大力推行全员、全过程、全系统为 特点的全面质量管理,使质量管理跃向一个更新的阶段。 企业管理创新是一项系统工程,只要面对市场,根据顾客要求,不断创造出适于市场需求变化的产品和服务,企业就会发展壮大、 长盛不衰。

参考文献: [1]杨文士,全面质量管理基本知识中国科学技术出版社1996年3月 [2]李怀林,食品安全管理体系通用教程中国计量出版社2007年1月 [3]吴陵庆,质量管理体系基础教程北京理工大学出版社2007年8月 [4]戴文龙,现代企业管理全书广东经济出版社 2008年5月 [5]于献忠,质量管理在中国—纪念我国推行全面质量管理25周年中国标准出版社 2005年9月 [6]纪宝成,市场营销学教程中国人民大学出版社 2008年4月

中国半导体100强企业名单

飛比達電子元器件(東莞)有限公司website www.的相关搜索 台達電子東莞有限公司成翔電子東 莞有限公司 吉嘉電子東 莞有限公司 富港電子東 莞有限公司 聯德電子東 莞有限公司 康舒電子東莞有限公司極訊電子東 莞有限公司 奇燁電子東 莞有限公司 嘉尼電子東 莞有限公司 光寶電子東 莞有限公司 第五章半导体分立器件制造行业重点企业经营状况及竞争力分析 1. 苏州松下半导体有限公司 2. 乐山无线电股份有限公司 3. 英飞凌科技(无锡)有限公司 4. 飞利浦半导体(广东)有限公司 5. 上海凯虹科技电子有限公司 6. 通用半导体(中国)有限公司 7. 欧姆龙(上海)有限公司 8. 上海旭福电子有公司 9. 吉林华星电子集团有限公司 10. 新义半导体(苏州)有限公司 11. 汕尾德昌电子有限公司 12. 莱尔德电子材料(深圳)有限公司 13. 上海凯虹电子有限公司 14. 宁波康强电子股份有限公司 15. 强茂电子(无锡)有限公司 16. 杭州大和热磁电子有限公司 17. 惠阳科惠工业科技有限公司 18. 无锡开益禧半导体有限公司 19. 森萨塔科技(宝应)有限公司 20. 上海威旭半导体光电有限公司 21. 杰群电子科技(东莞)有限公司

22. 美固电子(深圳)有限公司 23. 三洋半导体(蛇口)有限公司 24. 阳信长威电子有限公司 25. 江阴市通用电子器件厂 26. 苏州固锝电子股份有限公司 27. 常州银河电器有限公司 28. 迪思科科技(上海)有限公司 29. 超科林半导体设备(上海)有限公司 30. 佛山市蓝箭电子有限公司 31. 厦门永红集团有限公司 32. 西安华晶电子技术有限公司 33. 汕头华汕电子器件有限公司 34. 成都亚光电子股份有限公司 35. 常州星海电子有限公司 36. 天津长威科技有限公司 37. 南安市三晶硅品精制有限公司 38. 江苏华日源电子科技有限公司 39. 上海住友金属矿山电子材料有限公司 40. 天津中环半导体股份有限公司 41. 宁波市明昕微电子股份有限公司 42. 上海九晶电子材料有限公司 43. 光电子(大连)有限公司 44. 中山开益禧半导体有限公司 45. 江门市亿都半导体有限公司 46. 西安永电电气有限责任公司 47. 宁波德洲精密电子有限公司 48. 成都住矿电子有限公司 49. 扬州晶来半导体(集团)有限责任公 50. 安伦通讯设备(苏州)有限公司

对半导体技术、微电子技术、集成电路技术三者的浅略认识

对半导体技术、微电子技术、集成电路技术三者的浅略认识 一、半导体技术、微电子技术、集成电路技术三者的联系与区别 我们首先从三者的概念或定义上来分别了解一下这三种技术。 半导体技术就是以半导体为材料,制作成组件及集成电路的技术。在电子信息方面,绝大多数的电子组件都是以硅为基材做成的,因此电子产业又称为半导体产业。半导体技术最大的应用便是集成电路,它们被用来发挥各式各样的控制功能,犹如人体中的大脑与神经。 微电子技术是随着集成电路,尤其是超大型规模集成电路而发展起来的一门新的技术,是建立在以集成电路为核心的各种半导体器件基础上的高新电子技术,为微电子学中的各项工艺技术的总和。 集成电路技术,在电子学中是一种把电路小型化的技术。采用一定的工艺,把一个电路中所需的各种电子元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构。(以上三者概念均来源于网络)这般看来,三者概念上互相交叉,却也略有区别。依我这个初次接触这三个名词、对电子信息几乎一窍不通的大一新生来看,半导体技术是其他二者技术的基础,因为半导体是承载整个电子信息的基石,不管是微电子还是集成电路,便是以半导体为材料才可以建造、发展。而微电子技术,个人感觉比较广泛,甚至集成电路技术可以包含在微电子技术里。除此之外,诸如小型元件,如纳米级电子元件制造技术,都可以归为微电子技术。而集成电路技术概念上比较狭窄,单单只把电路小型化、集成化技术,上面列举的小型元件制造,便不能归为集成电路技术,但可以归为微电子技术。以上便是鄙人对三者概念上、应用上联系与区别的区区之见,如有错误之处还望谅解。 二、对集成电路技术的详细介绍 首先我们了解一下什么是集成电路。 集成电路是一种微型电子器件或部件。人们采用一定的工艺,把一个电路中所需的各种元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构。其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗、智能化和高可靠性方面迈进了一大步。它在电路中用字母“IC”表示。当今半导体工业大多数应用的是基于硅的集成电路。集成电路具有体积小,重量轻,引出线和焊接点少,寿命长,可靠性高,性能好等优点,同时成本低,便于大规模生产。 而简单来说,集成电路技术便是制造集成电路的技术方法。它涉及半导体器件物理、微电子学、电子学、无线电、光学以及信息学等学科领域的知识。 从产业分工角度,集成电路技术可以分为集成电路加工技术、集成电路测试封装技术以及集成电路设计技术等几方面。 1. 集成电路加工技术 集成电路加工技术主要是通过物理或化学手段在硅材料上生成半导体器件(比如场效应管)以及器件之间的物理互连。这些器件以及器件之间的互连构成的电路功能要符合系统设计要求。集成电路加工技术涉及的知识包括半导体器件物理、精密仪器、光学等领域,具体应用在工艺流程中,包括注入、掺杂、器件模型、工艺偏差模型、成品率分析以及工艺过程设计等。在近十几年的时间里,集成电路加工工艺水平一直按照摩尔(Moore)定律在快速发展。 2.集成电路测试、封装技术 集成电路测试包括完成在硅基上产生符合功能要求的电路后对裸片硅的功能和性能的

相关主题
文本预览
相关文档 最新文档