当前位置:文档之家› 第5章 常见数字模块

第5章 常见数字模块

第5章 常见数字模块
第5章 常见数字模块

第五章常见数字模块

5.1 介绍

到目前为止,我们已经考察过使用布尔表达式、电路图和硬件描述语言来设计组合电路和时序电路。本章将详细介绍数字系统中常见的组合电路和时序电路组件。这些组件包括算术运算电路、计数器、移位寄存器、存储器阵列和逻辑阵列。这些组件自身有重要作用,而且还说明了层次化,组件化,规整化的原则。组件可以以层次化的方法由更简单的组件(如逻辑门电路,多路选择器,译码器等)组成。每个组件都有定义好的接口,当底层实现不重要时,可以被视为黑盒。每一个规整结构的组件都应易于扩展为不同规模。第七章中将使用这些组件构成一个微处理器。

5.2算术电路

算术电路是计算机的主要组件。计算机和数字逻辑可以实现很多算术功能,例如:加法、减法、比较、移位、乘法和除法。本节将介绍实现这些操作的硬件。

5.2.1 加法

加法是数字系统中最常见的操作之一。首先考察两个一位的二进制数如何相加。然后再扩展到N位二进制数。加法器同时说明了速度和硬件复杂度之间的平衡。

半加法器

首先从构建一位半加法器(half adder)开始。如图5.1所示,半加法器有两个输入A和B,两个输出S和C out。S是A和B 之和。如果A和B都是1,S就是2,但2不能用一位二进制数表示。作为代替,用另一列输出C out表示。半加法器可以用一个XOR门电路和一个AND门电路实现。

图5.1 1位半加器

在多位加法器中,C out会被相加或者进位到下一个高位。例如,在图5.2中以蓝色标注的进位C out是第一列的一位加法输出,同时也是第二列加法的输入C in。然而,半加法器缺少一个输入C in去接受之前列的输出C out。下节中介绍的全加器会解决这个问题。

图5.2 进位

全加器

如图5.3所示,2.1小节中介绍的全加器(full adder)接收进位C in。图中还给出了S和C out的输出表达式。

图5.3 1位全加器

进位传播加法器

一个N位的加法器将两个N位输入和一位进位C in相加,产生一个N位结果S和一个输出进位C out。因为进位将会传播到下一位中,这种加法器通常称为进位传播加法器(carry

propagate adder, CPA)。CPA的符号如图5.4所示,除了A,B,S是总线而不是单独一位外,它和一个全加器画起来很像。三种常见的CPA实现分别是行波进位加法器,先行进位加法器和前缀加法器。

图5.4 进位传播加法器

行波进位加法器

构造N位进位传播加法器的最简单方法就是把N个全加器串联起来。如图5.5的32位加法器所示,行波进位加法器(Ripple-Carry Adder)中一级的C out就是下一级的C in。这是应用组件化和规整化的一个范例:全加器模块在一个更大的系统中被多次重用。行波进位加法器有一个缺点:当N比较大的时候,运算速度会慢下来。例如在图5.5中,S31依赖于C30,C30依赖于C29,C29又依赖于C28,如此类推。归根到底依赖于蓝色标注的C in。可以看出,进位以串行通过进位链。加法器的延迟t Ripple直接随位数的增长而增长,如等式5.1所示,其中t FA是全加器的延迟。

t Ripple=Nt FA(5.1)

图 5.5 32位行波进位加法器

先行进位加法器

大型行波进位加法器运算缓慢的根本原因是进位信号必须依次在加法器中的每一位传输。先行进位加法器(Carry-Lookahead Adder)是另外一种类型的进位传输加法器,它解决进位问题的方法是:把加法器分解成若干块,同时额外增加电路在每块一得到输入进位时就快速算出此块的输出进位。因此它不需要等待进位通过一块内的所有加法器单元,而是直接先行通过每个单元。例如,一个32位加法器可以分解成8个4位的块。

先行进位加法器用G(generate)和P(propagate)两个信号描述一列或者一块如何确定进位输出。在不考虑进位输入的情况下,如果加法器的第i列必然能产生了一个输出进位,则称此列为产生(generate)进位。一个加法器的第i列在A i和B i都为1时,必产生进位C i。因此第i列的generate信号Gi可以这样计算,G i=A i B i。如果在有进位输入时,第i列会产生了一个进位输出,那么此列就称为传播(propagation)进位。如果A i或者B i为1,第i列会传播一个进位输入C i-1,.因此,P i=A i+B i。利用这些定义,可以为加法器的特定列重写进位逻辑。如果加法器的第i列会产生一个进位G i,或者传播进位输入P i C i-1,它就会产生进位输出C i,表达式为

C i=A i B i+(A i+B i)C i-1=G i+P i C i-1(5.2)

产生和传播的定义可以扩展到多位构成的块。如果一个块在不考虑进位输入的情况下也能产生进位输出,称其产生进位。如果一个块在有进位输入时候能产生进位,称其为传播进位。定义G i:j和P i:j为从第i位到第j位块的产生和传播信号。

一个块产生一个进位的条件是:最高位列产生一个进位,或者如果最高位列传播进位而且之前的列产生了进位,如此类推。例如,一个第3位到第0位的块产生逻辑如下所示G3:0=G3+P3(G2+P2(G1+P1G0)) (5.3)

一个块传播进位的条件是:块中所有的列都能传播进位。例如,一个从第3位到第0位的传播逻辑如下

P3:0=P3P2P1P0(5.4)

使用块的生成和传播信号,可以根据块的进位输入C j快速计算出块的进位输出C i。

C i=G i:j + P i:j C j(5.5)

图5.6(a )是一个由8个4位块组成的32位先行进位加法器。每一个单元包含一个4位的行波进位加法器和一些根据进位输入提前计算进位输出的逻辑,如图5.6(b )所示。为简化起见,图中没有画出用于计算每一位A i 和B i 的产生信号G i 和输出信号和Pi 所需要的AND 门和OR 门来计算。同样地,先行进位加法器也体现了组件化和规整化。

图5.6 (a)32位先行进位加法器(CLA ) (b) 4位CLA 模块

所有的CLA 单元同时地计算单独位和块的生成,输出信号。关键路径从首个CLA 块中计算G 0和G 3:0开始。接着C in 直接通过每块中的AND/OR 门电路向前传输,直到最后。在大型加法器中,这会比等待所有的进位行波式通过每一个加法器要快很多。最后,关键路径通过最后一个块中包含了一个短的行波进位加法器。因此,一个分解成k 位块的N 位加法器延迟为:

CLA __t (1)pg pg block AND OR FA N t t t kt k =++?+ (5.6)

其中t pg 为单独一个生成产生信号P 和传播G 的门电路(一个单独的AND 或者OR 门电路),t pg_block 为在k 位块中生成产生信号P i:j 和输出信号G i:j 的延迟,t AND_OR 为在k 位CLA 块中C in 从AND/OR 逻辑到C out 的延迟。当N>16时,先行进位加法器一般总会比行波进位加法器快很多。然而,加法器的延迟依然随N 线性增长。

例子5.1行波进位加法器和先行进位加法器的延迟

对比32位行波进位加法器和4位块组成的32位先行进位加法器的延迟。假设每个两输入门电路的延迟为100ps ,全加器的延迟是300ps.

解答:通过等式5.1计算,32位行波进位加法器的传输延迟是32×300ps=9.6ns 。 CLA 的t PE =100ps ,t pg_block =6×100ps=600ps ,t AND_OR =2×100ps=200ps 。由式5.6,4位块组成的32位先行进位加法器传输延迟为:100ps+600ps+(32/4-1)×200ps+(4×300ps)=3.3ns ,几乎比行波进位加法器快3倍。

前缀加法器

前缀加法器(Prefix Adder)扩展了先行进位加法器的生成和传播逻辑,可以进行更快的加法运算。它们首先以两位一组计算G 和P ,之后是4位块,再之后是8位块,之后是16位块,如此类推直到生成每一列的信号。和就从这些生成的信号中计算得到。

换言之,前缀加法器的策略就是,尽可能快地计算每一列i 的进位输入C i-1,之后使用下述等式计算总值,:

1()i i i i S A B C ?=⊕⊕ (5.7)

定义列i=-1以包含C in ,所以G -1=Cin ,P -1=0。因为如果从跨度为-1到i-1位中生成一个进位的话,那么在列i-1将会有产生进位输出,所以C i-1=G i-1:-1。生成的进位要么在i-1列中生成,要么在之前列中生成,之后传播。因此,我们重写等式(5.7)为

1:1()i i i i S A B G ??=⊕⊕ (5.8)

因此,问题就集中于快速计算所有块的生成信号G -1:-1, G 0:-1, G 2:-1,…, G N-2:-1。这些信号和P -1:-1, P 0:-1, P 2:-1,…, P N-2:-1一起称为前缀(prefix)。

图5.7是一个N=16位的前缀加法器。这个加法器以用AND 和OR 门电路去为每一列的A i 和B i 产生P i 和G i 。之后它用log 2N=4层的黑色单元去组成前缀G i:j 和P i:j 。一个黑色单元的输入包括:上部分跨度位i:k 的块和下部分跨度为k-1:j 的块。它使用以下等式,组合这两部分信号为整个跨度为i:j 的块计算生成生和传播信号:

i:j ::k-1:j

i k i k G G P G =+ (5.9) ::-1:j i j i k k P P P = (5.10)

图5.7 16位前缀加法器

换言之,如果上部分生成进位或者上部分传播下部分生成的进位,则一个跨度为i:j 的块将会生成一个进位。如果上部分和下部分都能传播进位,则一个块也能传播进位。最后,前缀加法器使用式5.8计算总和。

总的来说,前缀加法器的延迟以加法器位数的对数增长,而不是线性增长。这明显提高了速度,特别在加法器位数超过32位时。但是它比简单的先行进位加法器需要消耗更多的硬件资源。黑色单元构成的网络称为前缀树(prefix tree)。

使用前缀树计算,使其执行延时按输入位数的对数增长。这种方法是很有用的技术。发挥一下智慧,它可以应用到其它类型电路中(参见练习5.7)。

N 位前缀加法器的关键路径包括P i 和G i 的预计算。通过log 2N 步的黑色前缀单元获得所有前缀。G i-1:-1之后通过底部最后的XOR 门电路计算S i 。N 位前缀加法器的延迟可表示为:

2_log ()PA pg pg prefix XOR t t N t t =++ (5.11)

其中t pg_prefix 是黑前缀单元的延迟。

例子 5.2 前缀加法器的延迟

计算32位前缀加法器的延迟。假设每一个2输入门电路的延迟是100ps 。

解答: 每一个黑前缀单元的输出延迟t pg_prefix 是200ps (即2个门电路的延迟)。因此,使用等式5.11,32位的前缀加法器的输出延迟是 100ps+log 2(32)×200ps+100ps=1.2ns ,比先行进位加法器快3倍,比例子5.1中的行波进位加法器快8倍。在现实中,效益可能没有那么大,但是前缀加法器依然是所有选择中最快的。

综合

这个小节介绍了半加法器,全加法器和三种进位传播加法器:行波进位加法器、先行进位加法器和前缀加法器。更快的加法器需要更多的硬件,所以成本和功耗也都更高。设计中选择合适的加法器需要充分考虑这些折衷。

硬件描述语言提供“+”操作来描述CPA 。现代的综合工具会从众多可能的实现方法中选择最便宜(最小)的设计去满足速度的要求。这极大地简化了设计者的工作。HDL 例子

5.1描述了一个有进位输入输出的CPA 。

HDL 例子5.1 加法器

{代码}

图5.8 综合后的加法器

5.2.2 减法

回想1.4.6节中加法器可以使用二进制补码表示完成正数和负数的加法。减法非常简单:改变减数的符号,然后做加法。改变二进制补码的符号就是翻转所有的位,然后加一。

计算Y=A-B,首先生成减数B的二进制补码。翻转B的所有位得到B,之后加1得到

-B=B+1。把这个值和加到被减数A,得到Y=A+B+1=A-B。这个和可以通过一个CPA获得,

其中设置C in=1,加数和被加数分别为A和B加法。图5.9为一个减法器的符号和底层硬件实现。HDL例子5.2描述了一个减法器。

图5.9 减法器(a)电路符号(b)实现

{代码}

图5.10 综合后的减法器

5.2.3 比较器

比较器的作用是判断两个二进制数是否相等,或者一个比另一个大还是小。一个比较器输入为两个N位二进制数A和B。有两种常见类型的比较器。

相等比较器(equality comparator)产生一个单独的输出,以说明A是否等于B(A==B)。.数量比较器(magnitude comparator)产生一个或者更多的输出以说明A和B的关系值。

相等比较器起硬件相对简单。图5.11给出了相等比较起的电路符号和4位相等比较器的实现。它首先通过XOR门电路检查A和B中每一对应的位是否相等。当每一位都相等时,它们就相等。

图5.11 4位相等比较器 (a)电路符号 (b)实现

量值比较器经常是首先计算A-B的值,再检查符号位(最高位)的结果,如图5.12所示。如果结果是负数(即符号位为1),A小于B;否则,A大于或等于B.

图5.12 N位数量比较器

HDL例子5.3给出了不同的比较操作。

图5.13 综合后的比较器

5.2.4 算术逻辑单元

在一个算术逻辑单元(Arithmetic/Logic Unit, ALU)内组合了多种算术和逻辑的操作。例如,典型的算术逻辑单元可以执行加法、减法、数量比较、AND和OR操作。ALU是绝大多数计算机的核心。

图5.14给出了一个N位输入,N位输出的算术逻辑单元电路符号。算术逻辑单元的输入控制信号F说明执行哪个功能。控制信号通常会以蓝色标注以与数据相区别。表5.1列出了ALU可以执行的典型功能。SLT功能用作数量比较,将会在稍后的小节中讨论。

图5.14 算术逻辑单元电路符号

table 5.1 ALU操作

Function : 功能

Not used : 未使用

图5.15给出一个算术逻辑单元的实现。其中包含一个N位的加法器和N个两输入AND 和OR门电路;包含反相器,在F2控制信号有效时翻转B;包含4:1多路选择器,以基于F1:0控制信号选择所需的功能。

图5.15 N位算术逻辑单元

Zero extend: 0扩展

更具体地说,此ALU中的算术和逻辑单元是对A和BB操作。BB是B或者是B,其由F2决定。如果F1:0=00,多路选择器就会选择A AND BB作为输出。如果F1:0=01,那么算术逻辑单元就会计算A OR BB。如果F1:0=10,算术逻辑单元就执行加法或者减法。注意:

F2还是加法器的进位输入。而且二进制补码计算中,B+1=-B。如果F2=0,算术逻辑单元

计算A+B。如果F2=1,那么算术逻辑单元计算A+B+1=A-B。

当F2:0=111,算术逻辑单元就会执行set if less than (SLT)操作。当A

SLT以计算S=A-B的方法实现。如果S是负数(也就是说,符号位为1),则A

例子 5.3 小于操作SLT

配置一个32位算术逻辑单元用于SLT操作。假设A=2510 ,B=3210,写出控制信号和输出Y。

解:因为A

一些算术逻辑单元产生另外一些输出,称作标志位(flag)。它表示了算术逻辑单元的输出信息。例如,溢出标志说明加法器的结果有溢出。零标志说明算术逻辑单元的输出是0。

N位算术逻辑单元的硬件描述语言设计留在练习5.9中。这个算术逻辑单元有很多的变形以支持其它功能,例如XOR或者相等比较器。

5.2.5 移位器和循环移位器

移位器(shifter)和循环移位器(rotator0用于移动数字中位和做2整数次幂的乘法或除法操作。如名字所示,移位器根据特定的数左右移动二进制的数字。有一些常用的移位器:z逻辑移位器(logical shifter)——左移(LSL)或者右移(LSR)数,以0填充空位

例如:11001 LSR 2 =00110;11001 LSL 2= 00100

z算术移位器(arithmetic shifter)——和逻辑移位器一样,不过在算术右移(ASR)时会把原先数据的最高标志位填充在新数据的最高标志位上。这对于有符号数的乘

法或者除法很有用(参看5.2.6小节和5.2.7小节)。算术左移(ASL)与逻辑左移

是一样的

例如:11001 ASR 2 =11110; 11001 ASL 2=00100

z循环移位器(Rotator)——循环转换数字,从一端转走的位重新填充到另一端的空位上。

例如:11001 ROR 2 =01110; 11001 ROL 2 =00111

一个N位移位器可以用N个N:1多路选择器构成。根据log2N位选择线的值,输入移位0到N-1位。图5.16为4位移位器的硬件和符号。操作符<<,>>和>>>分别表示左移,逻辑右移和算术右移。根据2位位移量shamt1:0,输出Y为输入A移动0到3位。对于所有的移位器,当shamt1:0=00时,Y=A。练习5.14包含了循环移位器的设计。

左移是乘法的特例。N位的左移相当于对一个数乘以2N倍。例如0000112<<4=1100002,相当于310×24=4810。

图5.16 4位移位器 (a) 左移(b)逻辑右移(c)算术右移

算术右移是除法的特例。N位的算术右移相当于对一个数除以2N。例如

111002>>>2=111112,相当于-410/22=-110。

10

210 41

2

?=?

5.2.6 乘法

无符号二进制数的乘法和十进制的乘法很相似,只不过它只有1和0而已。图5.17对比了二进制数和十进制数的乘法。在这两中情况下,部分积(partial product)为乘数的一位乘以被乘数的所有位。移位这些部分积,并将它们相加就可以得到最后结果。

图5.17 乘法(a)十进制(b)二进制

Multiplicand: 被乘数

Multiplier: 乘数

Partial product: 部分积

概括地说,一个N×N加法器是对两个N位数相乘,产生一个2N位的结果。二进制乘法中,部分积要么是被乘数,要么全部为。1位二进制乘法相当于AND操作,所以AND 门电路用于产生部分积。

图5.18为一个4×4乘法器的电路符号,功能和实现。乘法器接收被乘数A和乘数B,然后产生积P。图5.18(b)表示了如何形成部分积。每一个部分积是单独的乘数位(B3, B2, B1, B0)与被乘数的所有位(A3, A2, A1, A0)进行AND操作得出。对于N位操作数,会有N 个部分积和N-1级的1位???加法器。例如,对于一个4×4的乘法器,第一行的部分积是B0 AND (A3, A2, A1, A0).这个部分积将会和已移位的第二个部分积B1 AND (A3, A2, A1, A0)相加。后续行的AND门电路和加法器产生其它的部分积,并将它们相加。

HDL例子5.4是一个乘法器的HDL语句。和加法器一样,很多不同的乘法器设计都有着速度和成本的折衷。综合工具会根据给定的时间约束选择最合适的设计。

HDL例子5.4 乘法器

{ 代码}

图5.19 综合后的乘法器

5.2.7 除法*

针对[2N-1, 2N-1]区间内规一化的无符号整数,二进制数除法按以下算法执行:

R = A

for i = N-1 to 0

D = R – B

if D < 0 then Qi = 0 , R’ = R // R

else Qi = 1 , R’ = D // R>=B

if i ≠0 then R= 2R’

中间余数(partial remainder)R初始化为被除数A。重复地中间余数减去除数B,以判断它是否合适。如果差值D为负数,(D的符号位为1),则商Q i为0,且这个差则被忽略。否则,Qi为1,中间余数也被更新为这个差值。在每次循环中,中间余数都要乘以2(左移

了一位)。结果符合

(1)

(N

A R

Q

B B

??=+

.

图5.20为一个4位阵列除法器的原理图。除法器计算A/B,产生商Q和余数R。图例给出了除法器的电路符号和阵列中每一个单元的原理图。信号P表示R-B是否为负,从最左行的单元输出C out获得,为差值的符号位。

因为在确定符号和多路选择器决定选择R或者D前,进位必须逐次地通过一行所有N 级,所以N位除法器阵列延迟按N2比例增长。这对N列都是这样的。除法是一个缓慢,并非常耗费硬件资源的操作,应尽量少地使用。

5.2.8 深入阅读

计算机算术可以是一本书的主题。Ercegovac和Lang写的《Digital Arithmetic》对这个领域进行了很精彩的介绍。Weste和Harris写的《CMOS VLSI Design》包括了高性能的算术运算电路设计

5.3 数制系统

计算机可以对整数和小数操作。目前为止,我们只在1.4小节中考虑了有符号和无符号整数的表示。本节将介绍定点和浮点数系统,这样就可以表示有理数了。定点数与十进制数类似,一些位表示整数部分,其余表示下数部分。浮点数和科学计数法相似,包括尾数和指数。

5.3.1定点数系统

定点(fixed-point)表示法有一个位于整数和小数位之间的隐含二进制小数点,类似于通常十进制数中位于整数和小数位之间的十进制小数点。例如,图5.21(a)给出了一个有4位整数位和4位小数位的定点数。图5.21(b)把隐含的二进制小数点以蓝色标识出来,图5.21(c)表示其十进制数值。

图5.21 6.75用4个整数位和4个小数点位表示的定点表示

有符号定点数可以用二进制补码或者符号/数值形式表示。图5.22给出了-2.375的定点数表示法,其中包括了4位整数位和4位小数位。为了阅读清楚,隐含的二进制小数点以蓝色标注出来。在符号/数值形式中,最高有效位用于表示符号。二进制补码形式是将数的绝对值取反,然后在最低有效位加1。在这个例子中,最低有效位的位置在2-4列。

图5.22-2.375的定点表示(a)绝对值(b)符号/数值形式(c)二进制补码形式

和所有二进制数表示法一样,定点数只是位的集合。除非通过这些数的一致解释,否则没有办法知道是否存在隐含的二进制小数点。

例子 5.4 定点数的计算

使用定点数计算0.75+-0.625

解:首先把第二个数字0.625转换为定点二进制表示。0.625?2-1,所以在2-1列有一个1,剩下0.625-0.5=0.125。因为0.125<2-2,所以2-2列为0。因为0.125?2-1,于是2-3列为1,剩下0.125-0.125=0。因此必须在2-4列有一个0。把所有位放在一起,得到0.62510=0000.10102。

为使加法能正确进行,需要使用二进制补码代表有符号数。图5.23给出了-0.625转换为二进制补码表示的过程。

图5.23 定点数的二进制补码转换

Binary maginitude : 二进制原码

One’s Complement : 逐位取反

Add 1 : 加1

Two’s Complement : 二进制补码

图5.24给出了二进制定点数加法,并与十进制加法之间进行了对比。注意:二进制定点加法中,5.24(a)中8位的结果忽略了溢出的1。

图5.24 加法(a)二进制定点数加法(b)等价的十进制加法

5.3.2 浮点数系统*

浮点数与科学记数法相似。它解决了整数和小数位长度固定的限制,允许表示一个非常大或者非常小的数。如科学记数法一样,浮点数包含了符号(sign),尾数(mantissa, M),基数(base, B)和指数(exponent, E),如图5.25所示。例如,数字4.1×103是十进制数4100的科学计数法。它的尾数为4.1,基数为10,指数为3。十进制小数点移动到最高有效位的后面。二进制浮点数的基数为2,并包含二进制尾数。在32位浮点数中用1位表示符号,8位表示指数,23位表示尾数。

图5.25 浮点数

例5.5 32位浮点数

表示十进制数228的浮点数形式

解:首先转换十进制数为二进制数:22810=111001002=1.11001×27。图5.26给出了其32位编码(后面将进一步修改以提高效率)。其中符号位为正(0),8指数位表示值7,剩下的23位为尾数。

图5.26 32位浮点数表示——版本1

Sign : 符号

Exponent: 指数

Mantissa :尾数

二进制浮点数中,尾数的第一位(二进制小数点的左端)经常为1,因为不需要储存。其被称作隐含前导位(implicit leading one )。图5.27所示为经修改的浮点数22810=111001002×20=1.11001×27。因为效率关系,隐含前导位没有包含在23位的尾数中。只是小数部分的位被储存。这为有用的数据节省了一位。

图5.27 32位浮点数表示——版本2

Sign : 符号

Exponent: 指数

Mantissa :尾数

我们对指数字段再做一次修改。指数需要有正数形式和负数形式。要做到这点,浮点数使用了偏量(biased )指数。它是原始的指数加上一个恒定的偏量。32位浮点数使用的偏量是127。例如,对于指数7,偏量指数就是7+127=134=100001102。对于指数-4,偏量指数就是-4+127=123=011110112。图5.28给出了采用隐含引导位和偏量指数134(7+127)方法的1.110012×27的浮点表示。这种表示方法符合IEEE 754浮点数标准。

图5.28 IEEE 754 浮点表示法

Sign : 符号

Exponent: 指数

Mantissa :尾数

特殊情况:0,±∞,和NaN

IEEE 浮点数标准用特殊方式表示0,无穷大和非法结果等数。例如,在浮点数表示中隐含了前导位,所以表示数字0就存在问题。可以采用全0和全1填充的编码来解决了这些特殊的情况。表5.2为表示0,±∞,和NaN 的浮点数表示。和符号/数值表示法一样,浮点

数也有正0和负0。NaN 2log 5(—)。 表5.2 IEEE 754对 0,±∞,和NaN 的浮点数表示

单精度和双精度格式

目前为止,我们已经讨论过32位浮点数。这种格式被称为单精度(signle-precision, single or float )。IEEE 754标准还定义了64位的双精度(double-precision, double )以提供更高的精度和更大的取值范围。表5.3所示为两种格式中不同字段的位数。

排除前面提到的特殊情况,正常单精度数的取值范围是?1.175494×10-38到?3.402824

×1038。它们有7位十进制有效数字(因为2-24=10-7)。相似地,正常双精度取值范围为

?2.22507385850720×10-308到?1.79769313486232×10308,精度为15位十进制有效数字。

舍入

算术结果中在有效精度外的数必须舍去,成为近似值。舍入的模式有:(1)向上舍;(2)向下舍;(3)向零舍;(4)向最近端舍。默认的舍入模式是向最近端舍。在向最近端舍进的模式中,如果两端的距离一样,则选择小数部分最低有效位为0的那个数。

当一个数的数值部分太大以致不能表示时,会产生上溢。同样地,当一个数太小时,会产生下溢。在向最近端舍进的模式中,上溢会被向上舍到±∞,下溢则向下舍成为0.

浮点数加法

浮点数的加法并不像二进制补码加法那么简单。同符号的浮点数加法步骤如下:

1.分开指数和小数位;

2.加上前导1,形成尾数;

3.比较指数;

4.如果需要,对较小的尾数移位;

5.尾数相加;

6.规整化尾数,并在需要时调整指数;

7.结果舍入;

8.把指数和分数组合成浮点数。

图5.29给出了7.875(1.11111×22)和0.1875(1.1×2-3)的浮点数加法。结果为8.0625(1.0000001×23)。在第一步(分离指数和小数部分),第二步(加上隐含前导位)后,通过较大指数减去较小指数的方式比较指数字段。减法得出的结果就是第四步中较小的数右移以对齐二进制小数点的位数(使两者指数相等)。对齐后的数相加。因为相加得到的和中尾数大于等于2.0,所以结果右移一位以规范化,并在指数中加1。在这个例子中,结果是准确的,所以不需要舍入。结果在去掉隐含前导位和加上符号位后,以浮点数表示法储存起来。

图5.29 浮点数加法

Float-point number:: 浮点数

Exponent:指数

Fraction:小数

Shift amount: 移位数

No round necessary: 无需舍入

Step X: 第X步

5.4 时序电路单元

本小节将介绍时序电路单元,包括计数器和移位寄存器。

5.4.1 计数器

图5.30给出的N位二进制计数器(binary counter)是包含时钟和复位输入,N位输出Q组成的时序算术电路。复位Reset将输出初始化为0。之后,计数器在每个时钟上升沿递增1,以按照二进制顺序输出所有2N种可能的值。

图5.30 计数器电路符号

图5.31给出了一个由加法器和可复位的寄存器构成的N位计数器。在每一个周期中,计数器对存储在寄存器中的值加一。HDL例子5.5描述了一个异步复位的二进制计数器。

图5.31 N位计数器

HDL例子5.5 计数器

{代码}

图5.32 计数器的综合结果

其他类型的计数器,如UP/DOWN计数器,将在练习5.37到5.40中讨论。

5.4.2 移位寄存器

移位寄存器(shift register)的输入包括时钟、串行输入S in,输出包括:串行输出S out 和N位并行输出Q N-1:0,如图5.33所示。在每一个时钟上升沿,会从S in移入一个新的位,所有后续内容都向前移动。移位寄存器的最后一位在S out中。移位寄存器可以看作串行到并行的转换器。输入由S in以串行方式提供(一次一位)。在N个周期后,前面的N位输入就在Q中,可并行访问。

图5.33 移位寄存器电路符号

移位寄存器可以用N个触发器串联而成,如图5.34所示。一些移位寄存器还有复位信号来初始化所有的触发器。

图5.34移位寄存器电路原理图

一个相关的电路是并行到串行(parrallel-to-serial)转换器。它并行加载N位,然后一次移出一位。如图5.35所示,增加并行输入D N-1:0和控制信号Load后,移位寄存器可以修改为既可完成串行到并行操作,也可完成并行到串行操作。Load信号有效时,触发器并行地从输入D中加载数据。否则,移位寄存器就正常移位。HDL例子5.6描述了这样的移位寄存器。

图5.35 并行读取的移位寄存器

HDL例 5.6 带并行加载的移位寄存器

图5.36 综合后的移位寄存器

扫描链*

通过扫描链(scan chain)技术,移位寄存器常用于测试时序电路。组合电路测试相对直观。向待测试系统输入测试向量(test vector),将结果与期待值比较。因为时序电路有状态,所以测试要困难一些。要使电路从一个已知的初始状态开始进入所需的状态,可能需要很多周期输入测试向量。例如,测试32位计数器的最高有效位从0到1的变化,需要复位累加器后,再提供231(大约二百万个)时钟脉冲!

为了解决这个问题,设计者希望可以直接观察和控制机器的所有状态。这可以通过添加一个测试模式实现。在此模式下,所有触发器的内容可以读出或者载入所需要的值。大部分系统都中的触发器数目太多,而不能为每个触发器分配一个管脚完成其读写。相反,系统中所有的触发器被连接在一个称为扫描链的移位寄存器中。在正常模式下,触发器从D输入读入数据,忽略扫描链。在测试模式下,触发器用S in和S out串行地移出它们的内容,或移入新内容。加载多路选择器常常集成于触发器中,构成一个可扫描触发器(scanable flip-flop)。图5.37为可扫描触发器的原理图和电路符号,并说明了这些触发器是如何级联起来,构成一个N位可扫描寄存器。

图5.37 可扫描触发器 (a)原理图 (b)电路符号 (c)N位可扫描寄存器

例如,在测试32位计数器时,可以在测试模式下移入011111…111,在正常模式中累加一个周期,然后移出结果(此结果应为1000…000)。这只需要32+1+32=65个周期。

5.5 存储器阵列

前面章节介绍了用于数据操作的算术和时序电路。数字系统还需要存储器(memory)来存储使用过的数据和用这样的电路去生成数据。用触发器组成的寄存器是一种存储少量数据的存储器。本节将会介绍可以有效存储大量数据的存储器阵列(memory array)。

本节将首先概述所有存储器阵列的一般特性,之后介绍三种类型的存储器阵列:动态随机存储器(DRAM),静态随机存储器(SRAM)和只读存储器(ROM)。每一种存储器以不同的方式存储数据。本小节还将简要讨论面积和延迟的折衷,并说明如何使用存储器阵列不仅可以存储数据,还可以执行一些逻辑功能。最后以存储器阵列的HDL代码结束。

5.5.1 概述

图5.38是一个存储器阵列的一般电路符号。存储器由一个二维存储器单元阵列构成。存储器可以读取或者写入内容到阵列中的一行。这一行由地址(address)指定。读出或者写入的值称为数据(data)。一个有N位地址和M位数据的阵列就有2N行和M列。每一行数据称为一个字(word)。因此,阵列包含了2N个M位字。

图5.38 一般存储器阵列电路符号

Address:地址

Data:数据

图5.39为一个有两位地址和三位数据的存储器阵列。两位地址指明了阵列中4行中的哪一行(数据字)。每一个数据字有3位宽。图5.39(b)显示了存储器阵列中可能的内容。

图5.39 4×3存储器阵列(a)电路符号(b)功能

Address:地址

Data:数据

Depth:深度

Width:宽度

阵列的深度(depth)是行数,宽度(width)是列数,也称为字大小。阵列的大小就是深度×宽度。图5.39为一个4字×3位的阵列,简称4×3阵列。1024字×32位阵列的符号如图5.40所示。此阵列的大小为32千位(Kb)。

图5.40 32Kb阵列:深度=210=1024字,宽度=32位

位单元

存储器阵列以位单元(bit cell)构成的阵列组成,其中每个位单元存储1位数据。图5.41中每一个位单元与一个字线(wordline)和一个位线(wordline)相连。对于每一个地址位的组合,存储器将单个字线设置为高电平,并激活此行中的位单元。当字线为高电平时,就从位线传出或传入要存储的位。否则,位线就会与位单元断开。电路存储位的方法因存储类型的不同而异。

图5.41 位单元

Wordline: 字线

Bitline: 位线

Stored bit: 存储位

读取位单元时,位线初始化为浮空(Z)。随后,字线转换为高电平,允许已存储的值驱动位线为0或者1。写入位单元时,位线被驱动到要写入的值。随后,字线转换为高电平,连接存储位到位线。强驱使的位线将改写位单的内容,向存储位写入要写入的值。

存储器组织

图5.42为4×3存储器阵列的内部组成。当然,实际的存储器会更大,但是大型阵列的操作可以从小型阵列中观察到。在这个例子中,阵列存储了图5.39(b)中的数据。

读存储器时,一条字线设为高电平,相应行的位单元驱动位线为高或者低电平。写存储器时,首先驱动位线为高或者低电平,随后字线设置为高电平,允许位线的值存储到相应行的位单元中。例如,要读取地址10,位线首先浮空,译码器设置wordline2为高电平,相应行位单元存储的数据100被读出到数据位线。要写入001到地址11,位线首先被驱动到001值,然后wordline3被设置为高电平,随后新值001就被存储到位单元中。

图5.42 4×3 存储器阵列

2:4 Decoder: 2:4译码器

Wordline: 字线

Bitline: 位线

Stored bit: 存储位

Address:地址

Data:数据

存储器端口

所有存储器都有一个或者多个端口(port)。每一个端口提供对一个存储器地址的读/写访问。前面的例子都是单端口存储器。

多端口(multiported)存储器可以同时支持对多个地址的访问。图5.43为一个3端口存储器,其中有两个读端口和一个写端口。端口1从地址A1读出数据到数据输出RD1。端口2从地址A2读数据到RD2。当写使能WE3在时钟上升沿有效时,端口3将写数据输入WD3的内容数据写到地址A3。

图5.43 三端口存储器

Array: 阵列

存储器类型

存储器阵列的规格包括容量(深度×宽度),端口的数目和类型。所有的存储器阵列都以位单元阵列存储数据,但是在如何存储上却各有不同。

存储器可以根据如何在位单元上存储位来分类。最广泛的分类是随机访问存储器(Random access memory, RAM)和只读存储器(Read only memory, ROM)。RAM是易失的(volatile),即关掉电源时就会丢失数据。ROM是非易失的(non-volatile),即没有电源时也可以独自保存数据。

RAM和ROM因为一些历史的原因获得现在的名字,但是现在也不再有意义了。RAM 之所以称为随机访问存储器,是因为访问任何数据字的延迟都相同。与之相对,顺序访问存储器(如磁带)获得临近数据会比获得相距较远数据(例如磁带另一端的数据)更快。ROM 之所以称为只读存储器,因为在历史上它只能读,而不能被写入。这些名字容易让人混淆,因为ROM也是随机存取的。更糟糕的是,现在大部分ROM可以读也可以写。RAM和ROM 的最重要区别是:RAM是易失的,ROM是非易失的。

RAM的两种主要类型包括:动态RAM(dynamic RAM, DRAM)和静态RAM(static RAM, SRAM)。动态RAM以电容充放电存储数据,静态RAM使用交叉耦合的反向器对存储。对

于ROM而言,可以根据擦写方式的不同来区分出很多不同种类。这些不同类型的存储器会在后面小节中讨论。

5.5.2动态随机访问存储器

动态随机访问存储器(Dynamic SRAM, DRAM,读作“dea-ram”)以电容的充电和放电来存储位。图5.44为一个DRAM位单元。位值存储在电容中。nMOS晶体管作为一个开关,决定是不是从位线连接电容。当字线有效时,nMOS晶体管为导通状态,存储位就可以在位线上传入和传出。

图5.44 DRAM位单元

Wordline: 字线

Bitline: 位线

Stored bit: 存储位

如图5.45(a)所示,当电容充电到V dd时,存储位为1,当放电到GND时(图5.45(b))存储位为0。电容节点是动态的,因为它不由钳制到V DD或者GND的晶体管驱动为高电平或者低电平的。

图5.45 DRAM存储值

Wordline: 字线

Bitline: 位线

Stored bit: 存储位

读操作时,数据值从电容传递到位线。写操作时,数据值从位线传输到电容。读会破坏存储在电容中的位值,所以在每次读后数据字恢复(重写)。即使DRAM没有被读,因为电容的电压会慢慢泄漏,其内容也必须在若干毫秒内刷新(读,然后重写)。

5.5.3 静态随机访问存储器

静态随机访问存储器(static SRAM, SRAM,读作“es-ram”)被称为静态的,是因为不需要刷新存储位。图5.4.6是一个SRAM位单元。数据位在3.2小节中所述的交叉耦合反向器中。每个单元有两个输出bitline和/bitline。当字线有效时,两个nMOS晶体管都打开,数据值就从位线上传出传入。和DRAM不同,如果有噪音减弱了存储位的值,交叉耦合反向器会恢复存储值。

图 5.46 SRAM位单元

5.5.4 面积和延迟

触发器,SRAM,和DRAM都是易挥发存储器,但是有各自不同的面积和延迟特性。表5.4比较了这三种易挥发存储器。可以通过触发器的输出直接访问存储在其中的数据位。但是触发器至少需要20个晶体管构成。总的来说,晶体管数目越多的器件需要芯片面积更大,功耗和成本更高。DRAM延迟比SRAM更长,因为它的位线不是以晶体管驱动。DRAM 必须等待充电,从电容将值移动到位线的速度较慢。DRAM的吞吐量也更低,因为它必须

周期性地在读取之后刷新。

存储器延迟和吞吐量也和其规模有关;在其他条件的一致情况下,大容量存储器一般比小容量存储器更慢,。对于一个特定设计,最好的存储器选择依赖于其速度、成本和功耗约束。

表5.4 存储器比较

Memory type : 存储器类型

Transistors per bit cell: 每单元晶体管数

Latency:延迟

Fast: 块

Medium:中等

Slow: 慢

5.5.5 寄存器文件

数字系统通常用一组寄存器去存储临时变量。这组寄存器称为寄存器文件(register file),通常由小型多端口SRAM阵列组成,其存储密度较触发器阵列更高。

图5.47是一个32寄存器×32位的3端口寄存器组,由与图5.43相似的3端口存储器组成。寄存器组有两个读端口(A1/RD1和A2/RD2)和一个写端口(A3/WD3)。地址线A1,A2和A3均为5位,可以访问所有的25=32个寄存器。所以,同时读两个寄存器和写一个寄存器。

图5.47 有两个读端口和一个写端口的32×32寄存器文件

5.5.6 只读存储器

只读存储器(read only memory, ROM)以晶体管的存在与否来储存一个位。图5.48是一个简单的ROM位单元。读这个单元,位线被缓慢地推至高电平。随后字线设置为有效。如果晶体管存在,它会使位线为低电平。如果它不存在,位线会保持高电平。注意到ROM 的位单元是组合电路,在没有电源的情况下没有可以“忘记”的状态。

图5.48包含0和1的ROM位单元

Wordline: 字线

Bitline: 位线

Bit cell containing 0: 存储0的位单元

Bit cell containing 1: 存储1的位单元

ROM的内容可以用点表示法描述。图5.49中,用点表示法描述了包含图5.39中数据的4字×3位ROM。在行(字线)和列(位线)交叉点中的点表示此数据位为1。例如,顶端字线在Data1上有一个点,所以地址11中存储的数据字为010。

图5.49 4×3的ROM:点表示法

2:4 Decoder: 2:4译码器

Address:地址

理论上,ROM可以由一组OR门电路后跟随一组AND门电路的2层逻辑组成。AND

门电路产生所有可能的最项,从而形成一个译码器。图5.50为用译码器和OR门电路组成图5.49的ROM。图5.49中每个有点的行就是图5.50中OR门电路的输入。对于只有一个点的数据位(如data0),就不需要OR门电路。这种ROM的表示方式很有趣,因为它表示了ROM如何执行任意两层逻辑的功能。实践中,ROM用晶体管而不是逻辑门器件组成,以节省面积和成本。5.6.3小节将深入探讨晶体管层的实现。

图5.50使用门器件的实现4×3ROM

在制造时,图5.48中ROM位单元的内容可以用每一位单元中晶体管的有无来确定。可编程ROM(programable ROM, PROM,读作与一种舞蹈名称相似??),在每一个位单元都放置一个晶体管,然后提供方法决定晶体管是否接地。

图5.51为熔丝型可编程ROM(fuse-programable ROM)的位单元。使用者有选择地提供高压有以熔断熔丝,从而对ROM编程。如果熔丝存在,晶体管就接地,单元保持0。如果熔丝熔断,晶体管就与地断开,单元保持1值。因为熔丝在熔断后就不能恢复了,它也称作一次可编程ROM,。

图5.51 熔丝型可编程ROM的位单元

Wordline: 字线

Bitline: 位线

Intact fuse: 未熔断熔丝

Blown fuse: 已熔断熔丝

可重复编程ROM提供一种可修改机制来确定晶体管是否连接地。可擦写PROM (erasable PROM, EPROM,读作“e-proms”)把nMOS晶体管和熔丝替换成浮动栅晶体管。浮动栅并不与任何的线物理连接。当合适的高电平出现时,将产生从绝缘体到浮动栅的电子沟道,从而开启晶体管,把位线连接到字线(译码器的输出)。当EPROM暴露在强烈的紫外线中大约半小时,电子就会从浮动栅中移走,从而关闭晶体管。这两个过程分别被称为编程(programming)和擦除(erasing)。电子可擦写PROMs(electrically erasable PROM, EEPROMs,读作“e-e-proms”或者“double-e-proms”)和闪存(flash)采用相似的工作原理,但是它们在芯片上有电路负责擦写,所以不需要紫外线了。EEPROM的位单元可单独擦写;闪存擦写更大的位块,因为需要的擦写电路更少,所以更便宜。2006年,闪存每GB 的价格仅为25美金,而且还以每年30%到40%的速度持续下降。闪存广泛应用于便携式电池供电系统(如数码相机和音乐播放器)中存储大量数据。

总体而言,现代的ROM不再只读,它们也可以写入。RAM和ROM的不同在于ROM 的写入时间更长,但它是非挥发性的。

5.5.7 使用存储器阵列的逻辑

尽管存储器阵列最初用于存储数据,但也可用于实现组合逻辑功能。例如,图5.49中ROM的输出Data2实现了两位地址输入的XOR逻辑。同样,Data0是两位地址输入的NAND 逻辑。一个2N字×M位存储器可以实现任何N输入和M输出的组合逻辑功能。例如,图5.49的ROM实现两位输入的3种逻辑功能。

用于执行逻辑的存储阵列称为查找表(lookup tables, LUTs)。图5.52为一个4字×1位存储阵列,是可以执行Y=AB函数的查找表。用存储器实现逻辑时,用户可以根据给出的输入组合(地址)查找输出值。每个地址对应真值表的一行,每个数据位对应一个输出值。

图5.52 用作查找表的4字×1位存储器阵列

Truth table: 真值表

2:4 Decoder: 2:4译码器

Wordline: 字线

Bitline: 位线

Stored bit: 存储位

5.5.8 存储器HDL

HDL例子5.7描述一个2N字×M位的RAM。RAM有一个同步写使能。换言之,当写使能we有效时,在时钟的上升沿就会发生写入。读也同时发生。刚刚加电时,RAM的内容不可预知。

HDL例子5.8描述了一个4字×3位ROM。ROM的内容在HDL的case语句中说明。像这样小的ROM应该可能被综合成逻辑门电路而不是阵列。注意:HDL例子4.25的7段数目管译码器综合为图4.22的ROM。

HDL例 5.7 RAM

{代码}

图5.53 综合后的RAM

HDL例 5.8 ROM

{代码}

5.6 逻辑阵列

和存储器一样,门器件也可以组织成规整的阵列。如果门之间的连接可以编程,那么这些逻辑阵列(logic array)就可以被配置执行任何功能,而不需要使用者以特定方式连线。规整的结构可以简化设计。逻辑阵列可以大量生产,所以其并不昂贵。软件工具允许用户将逻辑设计映射到阵列上。大部分的逻辑阵列是可重配置的,这允许设计者不需要重布置硬件就可以修改设计。在开发过程中,可重配置的能力很有价值的,而且在使用现场中也很有用,这是因为在简单下载新配置后系统就可以升级。

本节介绍两种类型的逻辑阵列:可编程逻辑阵列(programmbale logic arrays, PLAs)和现场可编程门阵列(field programmable gate arrays, FPGAs)。可编程逻辑阵列是一个相对旧的技术,它只能实现组合逻辑。FPGA可以实现组合和时序逻辑。

5.6.1 可编程逻辑阵列

可编程逻辑阵列PLA以积之和的形式实现两层组合逻辑。如图5.54所示,PLA由一个AND阵列和跟随它的OR阵列组成。输入(以真值和取反的形式)驱动AND阵列。它产生的蕴含项依次作OR运算而形成输出。一个M×N×P位的PLA有M位输入,N位蕴含项和P位输出。

图5.54 M×N×P位PLA

AND array: AND阵列

OR array : OR 阵列

Inputs: 输入

Implicants: 蕴含项

Outputs: 输出

图5.55所示是3×3×2位PLA 实现函数X=AB C ABC +和Y AB =的点号表示法。其中,AND 阵列的每一行组成一个蕴含项。AND 阵列中每一行的点表示哪些输入组成蕴含项。图5.55中的AND 阵列形成了3个蕴含项ABC ,ABC ,AB 。OR 阵列的点说明输出函数中包含了哪些蕴含项。

图5.55 3×3×2位PLA :点号表示法

AND array: AND 阵列

OR array : OR 阵列

图5.56所示为如何使用两层逻辑组成PLA 。另一种的实现将在5.6.3小节介绍。 图5.56 使用用两层逻辑构成的3×3×2位PLA

AND array: AND 阵列

OR array : OR 阵列

ROM 可以看做PLA 的一种特殊情况。一个2M 字×N 位的ROM 就是一个M ×2M ×N 位的PLA 。译码器象AND 阵列一样,产生所有2M 个最小项。ROM 阵列象OR 阵列一样,产生所有输出。如果函数不需要依赖所有的2M 个最小项,PLA 就会比ROM 要小。例如,要实现用图5.55和5.56中3×3×2位PLA 的功能,就需要一个8字×2位ROM 。

可编程逻辑设备(programmable logic device, PLD )增强了PLA 的功能,其中在AND/OR 阵列中加入寄存器和各种的其他特殊功能。然而,很大一部分PLD 和PLA 将被FPGA 替代,因为FPGA 在建立系统时更加灵活和高效。

5.6.2 现场可编程门阵列

现场可编程门阵列(field programmable gate array, FPGA )是一个可重配置的门器件阵列。通过软件编程工具,使用者可以用硬件描述语言或者原理图在FPGA 上完成设计。基于一些原因,FPGA 比PLA 更弹性化和更强大。FPGA 可以实现组合和时序逻辑,还可以实现多级逻辑功能,而PLA 只能实现两层逻辑。现代的FPGA 还集成了其他有用的功能,如内部乘法器和大型RAM 阵列。

FPGA 由可配置逻辑单元(configurable logic block, CLB )阵列构成。图5.57为Xilinx 公司1998年发布的Spartan FPGA 的结构图。其中,每个CLB 可以配置为实现组合或者时序功能。CLB 由与外部接口的输入输出单元(input/output block, IOB )包围。IOB 连接了CLB 输入和输出和芯片封装的管脚。通过可编程的布线通道将CLB 和其他CLB 和IOB 连接在一起。图中其它的单元为设备编程提供帮助。

图5.57 Spartan 结构图

Routing Channel :布线通道

图5.58为Spartan FPGA 中的一个CLB 。其他品牌的FPGA 可能在组成上有些差异,但都服从相同的设计原则。CLB 由查找表(LUT )、可配置多路选择器、寄存器等构成。通过

确定查找表的内容和多路选择器的选择信号来配置FPGA 。

图5.58 Spartan CLB

Multiplexer controlled by configuration program: 由可配置程序控制的多路选择器

Logic function of G1-G4: 基于G1-G4的逻辑功能

Logic function of F1-F4: 基于F1-F4的逻辑功能

Logic function of F-G-H1: 基于F, G 和H1的逻辑功能

每一个Spartan CLB 有3个LUT :4输入的F 和G ,3输入的H 。为查找表加载合适的值后,F-LUT 和G-LUT 可以各自配置以实现所有可能的4变量逻辑函数,H-LUT 可以实现所有可能的3变量逻辑函数。

配置FPGA 时还需要确定选择信号,以决定数据如何通过多路选择器在CLB 中流过。例如,依靠多路选择器的配置,H-LUT 将从DIN 或者F-LUT 接受一个输入。相似地,它可以从SR 或者G-LUT 中接受第二个输入。第三个输入总来自于H1。

FPGA 产生两个组合输出X 和Y 。基于多路选择器的配置,X 来自F-LUT 或者H-LUT 。Y 来自G-LUT 或者H-LUT 。这些输出可以通过布线通道连接到其它CLB 中。

CLB 还包括两个触发器。基于配置,触发器输入可能来自DIN, F-LUT, G-LUT 或H-LUT 。触发器的输出XQ 和YQ 也可以通过布线通道连接到其它CLB 中。

总的来说,CLB 可以实现最多包含(或不包含)寄存器的两个组合逻辑函数。所有逻辑函数至少可以包含4个变量,最多可以包含9个变量。

设计者配置FPGA 时,首先用原理图或者硬件描述语言创建设计。随后,设计被综合到FPGA 上。综合工具决定LUT 、多路选择器、布线通道如何配置以实现特定功能。最后,这些配置信息可以下载到FPGA 上。

因为Xilinx FPGA 在SRAM 上存储配置信息,所以它们的编程非常简单。在系统加电时,配置信息可以从实验室的计算机或者EEPROM 芯片中下载内容到SRAM 上。一些制造商的FPGA 上直接包含了EEPROM ,或者使用一次可编程熔丝来配置FPGA

例子5.6 使用CLB 建立函数

解释如何配置CLB 实现以下函数: (a) X ABC ABC =+ 和Y AB =

(b) Y=JKLMPQR

(c) 二进制状态编码的模3计数器(参见图3.29(a ))

解:(a) 配置F-LUT 计算X ,G-LUT 计算Y ,如图5.59所示。输入F3, F2, F1分别代表A, B, C (这些连接由布线通道设置)。输入G2, G1为A 和B 。我们并不关心F4, G4, G3(也许连接到0)。配置最后一级多路选择器从F-LUT 上选择X ,从G-LUT 上选择Y 。总的来说,一个CLB 可以以这种方式计算任何两个4变量逻辑函数。

图5.59 用一个CLB 配置两个4变量函数

(b) 配置F-LUT 去计算F=JKLM ,G-LUT 计算G=PQR 。之后配置H-LUT 计算H=FG 。配置最后一级多路选择器从H-LUT 中选择Y ,配置如图5.60所示。总的来说,一个CLB 可以以这种方式计算一个9变量的函数。

图 5.60 用一个CLB 配置多于4输入的函数

(c) FSM 有两位状态(S 1:0)和一个输出(Y)。下一状态基于两位的当前状态。使用F-LUT 和G-LUT 从当前状态计算下一状态,如图5.61所示。使用2个触发器保持状态。触发器使

YC1008数字量输入输出模块使用说明书V1.0

YC1008数字量输入输出模块 使用说明书V1.0 目录 一.模块介绍 二.技术参数 三.模块的型号 四.模块尺寸、模块引脚定义、隔离特性 五.模块使用说明 六.通讯协议 七.模块的MODBUS-RTU协议功能码与数据对应表 版本记录:V1.0 2011-11-20 版本创建 一.模块介绍 YC1008数字量输入输出模块广泛应用于工业控制系统,具有广泛的使用意义。YC1008模块的主要特点如下: 1. YC1008系列模块通过隔离变压器和隔离光耦实现了供电电路、数字量输入、数字量输出、通讯电路的相互隔离,模块具有很强的稳定性和抗干扰能力。 2.单电源供电,隔离在模块内部通过隔离变压器和隔离光耦实现,隔离电压2500V。 3. YC1008系列模块实现8路数字量的输入和8路数字量的输出功能。 4. 通讯接口为RS485或232,通讯波特率等参数可配置,通讯协议为MODBUS-RTU。二.技术参数 供电电源 1. 供电电压:DC12V或DC24V,电源反接保护。 2. 电流消耗:<35mA+继电器功耗。 数字量输入 1. 共有8个数字量输入通道,可以接收多种输入信号:无源开关信号(逻辑0表示断开,逻辑1表示闭合);输入信号可以接集电极开漏(OC)输出信号、接近开关信号;输入信号也可以是有源信号(逻辑0表示3~35V,逻辑1表示0~0.5V表示闭合)。 2. 内部采用隔离变压器和隔离光耦实现了输入信号和电源的隔离,隔离电压2500V。数字量输出 1.8路数字量输出信号。 2.数字量输出通过继电器(常开触点)或集电极开漏输出(OC)两种方式实现。 3.该模块配有两种继电器输出:1) 继电器触点负载容量10A/277V AC;2) 继电器触 点负载容量30A/240V AC。

广播电视常用的几种数字视频编码技术

广播电视常用的几种数字视频编码技术 当前,电视设备正逐步从模拟向数字过渡,SONY、松下、JVC等公司纷纷推出了最新的数字摄、录、编设备。在当今电视技术领域,数字记录和编辑已得到广泛的运用。 数字视频的记录格式有非压缩和压缩两大类。非压缩记录格式的D1、D2、D3、D5等系列,它是以原有信号码率直接记录输入信号,保持了信号的原有水平,为无损记录。记录方式又分数字分量(D1、D5)和数字复合(D2、D3),它们代表了视频设备最高标准,图像质量最高,信号损失最小,但同时由于图像信号数据量很大,对机器硬件的要求极其苛刻,而价格非常昂贵,虽然产品已问世数年,但仅有少数对画质要求极高的视频制作公司使用。而压缩格式是指采用数字压缩技术的视频,常见的有DV,MPEG-2,M-JPEG等,并制定各种数据压缩标准,于是出现了相应的数字Betacam(DVW),DV,DVCPRO,DIGITAL-S,DVCAM,Betacam-SX等规格的数字录像机,它们将图像信号压缩再记录在磁带上,其目的是在保证图像质量的前提下,减小图像信号的数据量,减小设备体积,减少磁带用量,以最小的信号损失达到尽可能好的效果,从而降低设备成本。 1、数字视频技术的国际标准 (1)CCIR601号建议 为了便于国际间的节目交换,消除数字设备之间的制式差别,使625行电视系统与525行电视系统之间能够兼容,1982年2月国际无线电咨询委员会(CCIR,现改为国际电联无线电通信部,即ITU-R)第15次全会上通过了601号建议,确定以分量编码为基础:以亮度分量Y 和两个色差分量R-Y,B-Y为基础进行编码,作为电视演播室数字编码的国际标准。 (2)H.261标准 H.261简称p×64。该标准是用于电视电话和电视会议,图像编码算法是实时处理,并且延迟时间最小,使图像和语音密切配合,达到全彩色的实时运动视频传输,并获得高压缩比。该标准于1990年由国际电报电话咨询委员会(CCITT)完成通过。 (3)JPEG标准 静像数据压缩标准JPEG(JointPhotographicExpertsGroup),即联合图像术专家组,是国际标准组织(ISO)、国际电报电话咨询委员会(CCITT)和国际电工委员会(IEC)3个国际组织合作,在1991年完成通过。JPEG既是ISO的标准,也是CCITT的推荐标准,其目标是压缩静止彩色图片数据,多用于卫星、新闻图片的传输与存储,以及图形、图像文献资料处理等方面。 (4)MPEG标准 随着数字音频和数字视频技术的广泛应用,ISO的活动图像专家组(MovingPictureExpertGroup)在1991年11月提出了ISOll172标准的建议草案,通称MPEG-1标准,该标准于1992年11月通过。MPEG-1标准适用于数码率在1.5Mbps左右的应用环境,

常见的视频文件格式有哪些

常见的视频文件格式有哪些? 一、本地影像视频 ●GIF格式:GIF是图形交换格式(Graphics Interchange Format)的英文缩写,是由Co mpuServe公司于80年代推出的一种高压缩比的彩色图像文件格式。Co mpuServe公司是一家著名的美国在线信息服务机构,针对当时网络传输带宽的限制,Co mpuServe公司采用无损数据压缩方法中压缩效率较高的L ZW(Lempel Ziv & Welch)算法,推出了GIF图像格式,主要用于图像文件的网络传输,鉴于GIF图像文件的尺寸通常比其他图像文件(如PCX)小好几倍,这种图像格式迅速得到了广泛的应用。考虑到网络传输中的实际情况,GIF图像格式除了一般的逐行显示方式之外,还增加了渐显方式,也就是说,在图像传输过程中,用户可以先看到图像的大致轮廓,然后随着传输过程的继续而逐渐看清图像的细节部分,从而适应了用户的观赏心理,这种方式以后也被其他图像格式所采用,如JPEG/JPG等。最初,GIF 只是用来存储单幅静止图像,称GIF87a,后来,又进一步发展成为GIF89a,可以同时存储若干幅静止图像并进而形成连续的动画,目前Internet上大量采用的彩色动画文件多为这种格式的GIF文件。 ●Flic格式:Flic文件是Autodesk公司在其出品的Autodesk Animator / Animator Pro / 3D Studio等2D/3D动画制作软件中采用的彩色动画文件格式,其中,.FLI是最初的基于320×200分辨率的动画文件格式,而.FLC则是.FLI的进一步扩展,采用了更高效的数据压缩技术,其分辨率也不再局限于320×200。Flic文件采用行程编码(RLE)算法和Delta算法进行无损的数据压缩,首先压缩并保存整个动画序列中的第一幅图像,然后逐帧计算前后两幅相邻图像的差异或改变部分,并对这部分数据进行RLE压缩,由于动画序列中前后相邻图像的差别通常不大,因此采用行程编码可以得到相当高的数据压缩率。 GIF和Flic文件,通常用来表示由计算机生成的动画序列,其图像相对而言比较简单,因此可以得到比较高的无损压缩率,文件尺寸也不大。然而,对于来自外部世界的真实而复杂的影像信息而言,无损压缩便显得无能为力,而且,即使采用了高效的有损压缩算法,影像文件的尺寸也仍然相当庞大。 ●AVI格式:它的英文全称为Audio Video Interleaved,即音频视频交错格式。它于1992年被Microsoft公司推出,随Windows3.1一起被人们所认识和熟知。所谓“音频视频交错”,就是可以将视频和音频交织在一起进行同步播放。这种视频格式的优点是图像质量好,可以跨多个平台使用,其缺点是体积过于庞大,而且更加糟糕的是压缩标准不统一,最普遍的现象就是高版本Windows媒体播放器播放不了采用早期编码编辑的AVI格式视频,而低版本Windows媒体播放器又播放不了采用最新编码编辑的AVI格式视频,所以我们在进行一些AVI格式的视频播放时常会出现由于视频编码问题而造成的视频不能播放或即使能够播放,但存在不能调节播放进度和播放时只有声音没有图像等一些莫名其妙的问题,如果用户在进行A VI格式的视频播放时遇到了这些问题,可以通过下载相应的解码器来解决。 ●nAVI格式:nAVI是newAVI的缩写,是一个名为ShadowRealm的地下组织发展起来的一种新视频格式(与我们上面所说的A VI格式没有太大联系)。它是由Microsoft ASF压缩算法的修改而来的,但是又与下面介绍的网络影像视频中的ASF视频格式有所区别,它以牺牲原有ASF视频文件视频“流”特性为代价而通过增加帧率来大幅提高ASF视频文件的清晰度。 ●DV-AVI格式:D V的英文全称是Digital Video Format,是由索尼、松下、JVC等多家厂商联合提出的一种家用数字视频格式。目前非常流行的数码摄像机就是使用这种格式记录视频数据的。它可以通过电脑的IEEE 1394端口传输视频数据到电脑,也可以将电脑中编辑好的的视频数据回录到数码摄像机中。这种视频格式的文件扩展名一般是.avi,所以也叫D V-AVI格式。

数字卫星电视接收机在接收中常见问题

数字卫星电视接收机在接收中常见问题

————————————————————————————————作者:————————————————————————————————日期:

数字卫星电视接收机在接收中常见问题 一、信号时有时无。 检查和解决方法: 1、请检查线路是否完好。重连一次, 2、请检查接收机的设置是否正确。重设一次。 3、请检查高频头的极化方式是否正确。(垂直和水平极化方向是不一样的) 4、接收机供给高频头的电源电压输出正常吗?(水平极化方式为18 至21伏,垂直极化方式为11至15伏)拿万用表测测。 5、请检查接线板地线是否有漏电现象。 6、春分、秋分季节发生的“日凌”等天文现象也会使卫星电视接收暂时失去信号。请增加天线尺寸,以减低它的干扰。 7、检查接收机的输出电源是否与其他供电设备有冲突。清除冲突。 二、有图像没声音。 检查和解决方法: 1、请检查音频线路连接是否完好。重连一次。 2、请检查接收机的音频设置是否正确。有的接收机带有音频开关和声道选择。看看。 3、节目加密也会造成有图像没声音,或反之。属于正常。 4、请检查电视机上的音量是否打开。看看。 5、上面的检查都正确无误,很有可能是接收机的音频输出出了问题。送修。 三、我能收其它节目,但收不到你的节目。 检查和解决方法: 1、请检查接收机设置里的极化方式是否正确。 2、上行或下行频率参数正确吗?看看。 3、请把高频头的极化角调整到最佳。或高频头极化方式正确吗?看看。 4、调整本振频率的数值,直至最佳。 5、请把天线调整到最佳状态。最好使用专业的场强仪进行调试。 6、高频头的本振频率不是11250MHz。请更换。 7、我们发射信号了吗?问问。

数字视频基础知识

第三章 数字视频基础知识 3.1 视频的基础知识 在人类接受的信息中,有70%来自视觉,其中视频是最直观、最具体、信息量最丰富的。我们在日常生活中看到的电视、电影、VCD、DVD以及用摄像机、手机等拍摄的活动图像等都属于视频的范畴。 摄影机是指用胶片拍摄电影的机器,摄像机是用磁带、光盘、硬盘等作为界质记录活动影像的机器,广泛用于电视节目制作、家庭及其他各个方面。 摄影机使用胶片和机械装置记录活动影像,所采用的是光学和化学记录方式,摄象机是采用电子记录方式。 1 视频的定义 ?视频(Video)就其本质而言,是内容随时间变化的一组动态图像(25或30帧/秒),所以视频又叫作运动图像或活动图像。 ?一帧就是一幅静态画面,快速连续地显示帧,便能形运动的图像,每秒钟显示帧数越多,即帧频越高,所显示的动作就会越流畅。 『视觉暂留现象』 ?人眼在观察景物时,光信号传人大脑神经,需经过一段短暂的时间,光的作用结束后,视觉形象并不立即消失,这种残留的视觉称“后像”,视觉的这一现象则被称为“视觉暂留现象”。 ?具体应用是电影的拍摄和放映。 ?根据实验人们发现要想看到连续不闪烁的画面,帧与帧之间的时间间隔最少要达到是二十四分之一秒。 ?视频信号具有以下特点: ?内容随时间而变化 ?有与画面动作同步的声音(伴音) ?图像与视频是两个既有联系又有区别的概念:静止的图片称为图像(Image),运动的图像称为视频(Video)。 ?图像与视频两者的信源方式不同,图像的输入靠扫描仪、数字照相机等设备;视频的输入是电视接收机、

摄象机、录象机、影碟机以及可以输出连续图像信号的设备。 2.视频的分类 ?按照处理方式的不同,视频分为模拟视频和数字视频。 ?模拟视频(Analog Video) ?模拟视频是用于传输图像和声音的随时间连续变化的电信号。早期视频的记录、存储和传输都采用模拟方式,如在电视上所见到的视频图像是以一种模拟电信号的形式来记录的,并依靠模拟调幅的手段在空间传播,再用盒式磁带录像机将其作为模拟信号存放在磁带上。 ?模拟视频的特点: ?以模拟电信号的形式来记录 ?依靠模拟调幅的手段在空间传播 ?使用磁带录象机将视频作为模拟信号存放在磁带上 ?传统视频信号以模拟方式进行存储和传送然而模拟视频不适合网络传输,在传输效率方面先天不足,而且图像随时间和频道的衰减较大,不便于分类、检索和编辑。 ?要使计算机能对视频进行处理,必须把视频源即来自于电视机、模拟摄像机、录像机、影碟机等设备的模拟视频信号转换成计算机要求的数字视频形式,这个过程称为视频的数字化过程。 ?数字视频可大大降低视频的传输和存储费用、增加交互性、带来精确稳定的图像。 ?如今,数字视频的应用已非常广泛。包括直接广播卫星(DBS)、有线电视(如图5.2)、数字电视在内的各种通信应用均需要采用数字视频。 ?一些消费产品,如VCD和DVD,数字式便携摄像机,都是以MPEG视频压缩为基础的。 数字化视频的优点 ?适合于网络应用 ?在网络环境中,视频信息可方便地实现资源共享。视频数字信号便于长距离传输。 ?再现性好 ?模拟信号由于是连续变化的,所以不管复制时精确度多高,失真不可避免,经多次复制后,误差就很大。

维修有线电视故障

有线电视机声音忽大忽小的原因有很多,首先我们是不是让有线电视机受潮了导致的,电路是不是短路了,如果我们自己不是专业人士建议不要自己拆卸有线电视机,下面为大家介绍一下关于有线电视机常见故障的原因以及对应的维修方法。 有线电视故障原因及维修方法 1、【原因】: 电子音量控制电路故障,电脑集成电路,元器件虚焊或损坏。 伴音放大电路故障,元器件虚焊或损坏。 扬声器组件故障,扬声器、连接线接触不良。 2、【维修方法】: 在有线电视机开机状态下,使用有线电视机的遥控器操作,按下【音量+】键即可增大有线电视机的音量;如需要减小音量则按【音量-】键即可。 如果遥控器没有设计【音量+】和【音量-】的按键,则可以按【菜单】

键进入【菜单选项】中,找到【音量设置】选项,选中后按【确认】键进入【音量调节】界面,一般可以按【上方向】键增大音量,按【下方向】键减小音量。 有线电视机故障维修: 1、先检查是否为有线电视机遥控器或者按键面板短路、漏电造成的音量调节失常,所以出现音量忽大忽小、变化不定;一般可以通过更换遥控器使用来解决; 2、再检查信号源输入是否有问题,如有线电视机与有线电视、数字有线电视机顶盒、DVD机等设备的连接是否接触良好,如松动接触不良则可能出现该问题,将其重新连接、固定好即可; 3、检查当前节目频道的节目源是否有问题,如节目源本身存在音量忽大忽小问题,一般可通过切换节目频道来解决; 4、有线电视机的硬件故障导致音量忽大忽小,如扬声器故障、内部电路、电容故障、主板及其零部件故障都有可能,建议联系有线电视机的售后对有线电视机进行全面的检测和保修即可。

如果只有一个台才声音时大时小属于电视台问题,如果每个台都有这个问题属于有线电视机问题。 有线某些机型的通病,呵呵如果你不是专业的维修人员劝你不要去动他的脑筋。 1,音频部分虚焊,主板与音频接口转换板之间的插座氧化接触不良。 2、喇叭线氧化或者长期弯折连线接触不良。(这样会时无) 3、如果你的信号是音视频输入的,有可能连线和插座接触不良,声音会时大时小。换线一般能解决问题,最好把氧化层刮掉。 如果只有一个台才声音时大时小属于电视台问题,如果每个台都有这个问题属于有线电视机问题。需要找专业维修人员。 以家电、家居生活为主营业务方向,提供小家电、热水器、空调、燃气灶、油烟机、冰箱、洗衣机、电视、开锁换锁、管道疏通、化粪池清理、家具维修、房屋维修、水电维修、家电拆装等保养维修服务。

常见视频文件格式有哪些

常见视频文件格式有哪些? 2006年11月23日10:28 AVI是音频视频交错(Audio Video Interleaved)的英文缩写,它是Microsoft公司开发的一种符合RIFF文件规范的数字音频与视频文件格式,原先用于Microsoft Video for Windows (简称VFW)环境,现在已被Windows 95/98、OS/2等多数操作系统直接支持。AVI格式允许视频和音频交错在一起同步播放,支持256色和RLE压缩,但AVI文件并未限定压缩标准,因此,AVI文件格式只是作为控制界面上的标准,不具有兼容性,用不同压缩算法生成的AVI文件,必须使用相应的解压缩算法才能播放出来。常用的AVI播放驱动程序,主要是Microsoft Video for Windows或Windows 95/98中的Video 1,以及Intel公司的Indeo Video。AVI文件目前主要应用在多媒体光盘上,用来保存电影、电视等各种影像信息,有时也出现在Internet上,供用户下载、欣赏新影片的精彩片断。 .MPEG/.MPG/.DAT---MPEG文件 MPEG文件格式是运动图像压缩算法的国际标准,它采用有损压缩方法减少运动图像中的冗余信息,同时保证每秒30帧的图像动态刷新率,已被几乎所有的计算机平台共同支持。MPEG标准包括MPEG视频、MPEG音频和MPEG系统(视频、音频同步)三个部分,前文介绍的MP3音频文件就是MPEG音频的一个典型应用,而Video CD (VCD)、Super VCD (SVCD)、DVD (Digital Versatile Disk)则是全面采用MPEG技术所产生出来的新型消费类电子产品。MPEG 压缩标准是针对运动图像而设计的,其基本方法是:在单位时间内采集并保存第一帧信息,然后只存储其余帧相对第一帧发生变化的部分,从而达到压缩的目的,它主要采用两个基本压缩技术:运动补偿技术(预测编码和插补码)实现时间上的压缩,变换域(离散余弦变换DCT)压缩技术实现空间上的压缩。MPEG的平均压缩比为50∶1,最高可达200∶1,压缩效率非常高,同时图像和音响的质量也非常好,并且在微机上有统一的标准格式,兼容性相当好。 这里值得注意的是DIVX。DIVX 视频编码技术可以说是一种对 DVD 造成威胁的新生视频压缩格式,也有人说它 是 DVD 杀手,它由 Microsoft mpeg4 v3 修改而来,使用了MPEG4的压缩算法。同时它也可以说是为了打破 ASF 的种种协定而发展出来的。而使用这种据说是美国禁止出口的编码技术MPEG4 压缩一部 DVD 只需要 2 张 CDROM。这样就意味着读者不需要额外购买DVD光驱也可以得到和它差不多的视频质量。而且播放这种编码,对机器的要求也不高,CPU的最低额度只要求在300MHZ 以上,而且在CPU类型的选择方面,不论你的芯是PII、CELERON还是PIII、AMDK6/2、AMDK6III、ATHALON,就是CYRIXx86也可以统吃拿下。在配置上64 兆内存和一个 8兆显存的显卡上,DIVX便可以流畅的播放了。 .RA/.RM/.RMVB---RealVideo文件 RealVideo文件是RealNetworks公司开发的一种新型流式视频文件格式,它包含在RealNetworks公司所制定的音频视频压缩规范RealMedia中,主要用来在低速率的广域网上实时传输活动视频影像,可以根据网络数据传输速率的不同而采用不同的压缩比率,从而实现影像数据的实时传送和实时播放。RealVideo除了可以以普通的视频文件形式播放之外,还可以与RealServer服务器相配合,在数据传输过程中边下载边播放视频影像,而不必像大多数视频文件那样,必须先下载然后才能播放。目前,Internet上已有不少网站利用RealVideo技术进行重大事件的实况转播。RMVB影片格式比原先的RM多了VB两字,在这里VB是VBR(Variable Bit Rate--可变比特率)的缩写。在保证了平均采样率的基础上,设定了一般为平均采样率两倍的最大采样率值,在处理较复杂的动态影像时也能得到比较良好的效果,处理一般静止画面时则灵活的转换至较低的采样率,有效的缩减了文件的大小! .MOV/.QT---QuickTime文件 QuickTime是Apple计算机公司开发的一种音频、视频文件格式,用于保存音频和视频信息,具有先进的视频和音频功能,被包括Apple Mac OS、Microsoft Windows 95/98/NT在内的所有主流电脑平台支持。QuickTime文件格式支持25位彩色,支持RLE、JPEG等领先的集成压缩技术,提供150多种视频效果,并配有提供了200多种MIDI兼容音响和设备的声音装置。新版的QuickTime进一步扩展了原有功能,包含了基于Internet应用的关键特性,能够通过Internet提供实时的数字化信息流、工作流与文件回放功能,此外,QuickTime还采用了一种称为QuickTime VR (简作QTVR)技术的虚拟现实(Virtual Reality, VR)技术,用户通过鼠标或键盘的交互式控制,可以观察某一地点周围360度的景像,或者从空间任何角度观察某一物体。QuickTime以其领先的多媒体技术和跨平台特性、较小的存储空间要求、技术细节的独立性以及系统的高度开放性,得到业界的广泛认可,目前已成为数字媒体软件技术领域的事实上的

数字电视常见故障和处理方法

数字电视常见故障和处理方法 目录 目录 (1) 一、数字电视节目出现马赛克 (2) 二、电视节目信号中断 (2) 三、机顶盒搜索不成功 (3) 四、机顶盒搜索后节目排序乱 (4) 五、节目内容与节目名称不符合 (4) 六、机顶盒授权不成功 (5) 七、机顶盒显示“区域码不正确” (6) 八、最近区域码不正确故障出现较频繁的原因 (6) 九、授权过期 (7) 十、无法打开信息主页和点播主页 (8) 十一、机顶盒操作无响应 (8) 十三、机顶盒出现故障其它现象 (8)

一、数字电视节目出现马赛克 故障现象: 数字电视节目时画面出现马赛克。 故障分析: 节目马赛克是由于误码产生,大致可能分为信源误码和信道误码。对于前者,有可能是节目源本身问题,也可能是信号线松动产生或QAM调制器带宽溢出造成。而信道误码一般是HFC网络传输状态不佳亦会产生同样的故障现象。 故障处理: 1、判断图像马赛克故障首先要区分单节目马赛克故障还是同码流多节目马赛克故障。一般来说,单节目马赛克大多数是节目源本身问题,同码流多节目马赛克有可能是信号线松动产生或QAM调制器带宽溢出造成,可通过查看网管或联系区公司机房定位故障。 2、如果在前端的机顶盒收看正常,而用户端机顶盒出现马赛克,一般是本地HFC网络传输问题,需维护人员携带数字场强仪从用户端逐级反查信号质量情况,定位故障点。 二、电视节目信号中断 故障现象: 机顶盒在观看节目时图像黑屏,出现“节目中断,请稍后…”、“无信号”或“该节目无法播放”的提示框文字。 故障分析: 如果出现“无信号”的提示,一般均由射频信号故障引起,故障可能原因有:QAM调制器本身故障、射频干扰、接线问题。如果出现“节目中断,请稍后…”、“该节目无法播放”的提示,则一般为节目源本身问题引起。 故障处理: 1、出现“无信号”的提示,通过测量仪器进行故障定位和判断,针对故障

三菱FX系列PLC12位模拟量输入输出模块的特性

1. FX系列的12位模拟量输入/输出模块的公共特性 除FX2N-3A和FXlN–8AV–BD/FX2N–8AV–BD的分辨率是8位, FX2N–8AD是16位以外,其余的模拟量输入输出模块和功能扩展板均为12位。 电压输入时(如0~10V DC,0~5V DC)。模拟量输入电路的输入电阻为20kΩ,电流输入时(如4~20mA)模拟量输入电路的输入电阻为250Ω。 模拟量输出模块在电压输出时的外部负载电阻为2kΩ/~1MΩ,电流输出时小于500Ω。 12位模拟量输入在满量程时(如10V)的数字量转换值为4000。未专门说明时,满量程前总体精度为±1%。 功能扩展板的体积小巧,价格低廉,PLC内可安装一块功能扩展板,后者还可以和价格也很便宜的显示模块安装在一起。 2. 模拟量输入扩展板FX1N–2AD–BD FX1N–2AD–BD有两个12位的输入通道,输入为0~10V DC和4~20mA DC,转换速度。为1个扫描周期,没有隔离,不占用的I/O点,适用于FXlS和FX1N。 3. 模拟量输出扩展板FX1N–1 DA–BD FXlN–1DA–BD有1个12位的输出通道,输出为0~1OV、O~5V DC和 4~20mA DC,转换速度为1个扫描周期,没有隔离;不占用I/O点,适用于FX1S 和FX1N。 艾驰商城是国内最专业的MRO工业品网购平台,正品现货、优势价格、迅捷配送,是一站式采购的工业品商城!具有10年工业用品电子商务领域研究,以强大的信息通道建设的优势,以及依托线下贸易交易市场在工业用品行业上游供应链的整合能力,为广大的用户提供了传感器、图尔克传感器、变频器、断路器、继电器、PLC、工控机、仪器仪表、气缸、五金工具、伺服电机、劳保用品等一系列自动化的工控产品。 如需进一步了解台达PLC、西门子PLC、施耐德plc、欧姆龙PLC的选型,报价,采购,参数,图片,批发等信息,请关注艾驰商城https://www.doczj.com/doc/1b12923312.html,/

常见多媒体素材文件格式

常见多媒体素材文件格式 媒体 类型 扩展名说明 文本doc doc是Microsoft Word子处理软件所使用的文件格式,可以用Word2000,Word2003,WordXP打开并编辑。 txt Windows系统的“记事本”是支持txt文本的编辑和存储工具,它是一种纯文本文件,所有的文字编辑软件和多媒体集成工具软件均可直接调用txt 格式文件。 rtf Rich Text Format格式。wri写字板文件。 wps wps文件。 图片jpg/ jpeg JPEG(Joint Photograhic Experts Group)压缩的图 像文件,压缩比率通常在 10:1~40:1之间,色彩 信息保留较好,占用空间 较小,适合用于网页中, 不适宜放大观看,输出成 印刷品时质量受影响。 bmp Windows中的标准图像文件格式,无压缩,不会丢失图像的任何细节,但是占用的存储空间大。 tif/是一种标记图像文件格式(Tagged Image File Format),它是一种非失真的

tiff压缩格式,能保持原有图像的颜色和层次,但占用空间很 大。 gif 图形交换格式文件,图像的像素资料不会被丢失,丢失的是图像的色彩。只能存储256色,通常用来显示简单图形及字体,在课件中常用来制作小动画或图形元素,目前网上小动画文件多为这种格式。 音频wav 标准Windows声音文件,波形声音文件格式,通过对声音采样生成。无压缩,音质最好,占用的存储空间大。 mp3mp3是以MPEG Layer 3标准压缩编码的一种音频文件格式,具有很高的压缩率,将wav压缩后的一种音乐格式,占用空间小,声音质量高。 mid 乐器数字接口的音乐文件,电脑音乐的统称,占用的存储空间很小。 wma wma的全称是Windows Media Audio,是微软力推的一种音频格式。它是以减少数据流量但保持音质的方法来达到更高的压缩率目的,其压缩率一般可达1:18,生成的文件大小只有相应MP3文件的一半,且声音质量很高,可以边听边下载。 ra Real Audio流媒体音频文件,需要用realplayer来播放,体积

常见的几种高清视频编码格式

高清视频的编码格式有五种,即H.264、MPEG-4、MPEG-2、WMA-HD以及VC-1。事实上,现在网络上流传的高清视频主要以两类文件的方式存在:一类是经过MPEG-2标准压缩,以tp和ts为后缀的视频流文件;一类是经过WMV-HD(Windows Media Video High Definition)标准压缩过的wmv文件,还有少数文件后缀为avi或mpg,其性质与wmv是一样的。真正效果好的高清视频更多地以H.264与VC-1这两种主流的编码格式流传。 H.264编码 H.264编码高清视频 H.264是由国际电信联盟(iTU-T)所制定的新一代的视频压缩格式。H.264 最具价值的部分是更高的数据压缩比,在同等的图像质量,H.264的数据压缩比能比当前DVD系统中使用的 MPEG-2高2~3倍,比MPEG-4高1.5~2倍。正因为如此,经过H.264压缩的视频数据,在网络传输过程中所需要的带宽更少,也更加经济。在 MPEG-2需要6Mbps的传输速率匹配时,H.264只需要1Mbps~2Mbps 的传输速率,目前H.264已经获得DVD Forum与Blu-ray Disc Association采纳,成为新一代HD DVD的标准,不过H.264解码算法更复杂,计算要求比WMA-HD 还要高。 从ATI的Radeon X1000系列显卡、NVIDIA的GeForce 6/7系列显卡开始,它们均加入对H.264硬解码的支持。与MPEG-4一样,经过H.264压缩的视频文件一般也是采用avi 作为其后缀名,同样不容易辨认,只能通过解码器来自己识别。 总的来说,常见的几种高清视频编码格式的特点是能够以更低的码率得到更高的画质,相同效果的MPEG2与H.264影片做比较,后者在容量上仅需前者的一半左右。这也就意味着,H.264不仅能够节省HDTV的存储空间,而且还可以

数字有线机顶盒基本使用方法与常见问题

数字有线机顶盒基本使用方法和常见问题数字电视机顶盒STB(Set-TopBox)是信息家电之一,它是一种能够让用户在现有模拟电视机上观看数字电视节目,并进行交互式数字化娱乐、教育和商业化活动的消费类电子产品。目前我们使用的是数字有线电视机顶盒(DVB-C),是可以将有线的数字电视信号转换成电视机可接收的模拟信号的变换设备,它对经过数字化压缩的图象和声音信号进行解码还原,产生模拟的视频和音频信号,通过机顶盒上的各种信号输出端(音视频输出端子、分量视频输出端子、S-视频输出端子、HDMI端子)经由信号线输送到电视机相应输入端,来收看高质量的电视节目。 基本使用方法 1.机顶盒主要端口功能 有线电视信号输入(射频输入)端子,连接有线电视信号线; 有线电视信号输出(环路输出)端子,连接到电视机,要观赏模拟方式播放的节目时,加以连接; 视频输出端子(黄色),连接到电视机的视频输入端子; 音频输出端子(红色、白色),连接到电视机的音频输入端子,红色是右声道,白色是左声道; 色差分量输出端子,连接到有分量视频输入端子的电视机,但是,还需要连接音频输出; S-视频输出端子,连接到有S-视频输入端子的电视机,同样需

要连接音频输出; HDMI端子,数字信号输出接口,高质量地传送数字图象和声音; 数字音频(广播)输出接口( SPDIF),通过此接口可以实现数字音频(广播)的光纤信号输出; RS232串行端子,用于机顶盒和外部连接设备之间的信号接收和发送,是维护人员专用的接口。 2.系统连接 A、通过同轴电缆(有线电视信号线)连接有线电视CATV用户 盒到机顶盒的信号输入端口; B、通过音视频线(黄红白线),颜色对应地连接机顶盒背面的音 视频输出端到电视机的音视频输入端,也可采用分量视频线(红蓝绿线),S-端子视频线和HDMI线连接到电视机相应的输入端口上; C、通过同轴电缆连接机顶盒的环路输出到电视机的有线输入端 用于收看模拟电视(可选操作); D、接通机顶盒电源。 3.快速安装使用 A、检查系统连接; B、插入节目运营商提供的智能卡,卡上绘有插入方向的箭头, 按箭头方向插入前面板的插槽即可; C、打开电视机并将电视机切换到视频/AV状态(或S视频、HDMI 等相应状态),打开机顶盒电源出现“徐州有线数字电视”界面(初

数字视频资源的获取、处理及应用

实验三数字视频资源的获取、处理及应用 不同学科的多媒体教学经常会使用数字视频资源来丰富知识内容,刺激学生的视听感官,吸引学生的注意力,提高教学效果。数字视频能客观记录现实,真实再现事物发生、发展的动态变化过程,表现事物细节,跨越时空限制,展现比较陌生的事物,拓宽人的视野,画面形象逼真,声画同步,变抽象理论为形象画面等特性,能帮助学生建构知识理解的情境,提高学习的效率与效果。但并不是只要在多媒体教学课件中使用视频资源,就能达到好的教学效果,应该对已有的视频资源做相应的处理,使之适于教学需要才能取得良好的教学效果。因此,在掌握数字视频资源获取的基础上,学会基本的加工和应用数字视频资源是教师必备的基本技能。 【实验目的】 1.了解数字视频资源的常用格式 2.学会数字视频资源的获取方法 3.能够对数字视频资源进行简单的加工处理 4.学会在多媒体课件、主题学习网站中使用数字视频资源的方法 【实验类型】 基础型实验 【实验任务】 运用本实验中学习的数字视频获取与处理技术,制作一个自己所学专业相关

课程教学视频片段,并运用于课件或网站中。要求做到: 1. 根据课件教学主题的要求,设计好相应的教学视频所需要的图片、声音、 动画或文字素材等。 2. 采取多种视频素材获取方法获取视频素材,并利用会声会影软件完成教学 视频片段的制作。 3. 教学视频片段要达到画面合成自然,主题突出,过渡效果和特技使用合理、 色彩和谐的视觉效果,很好地表达教学内容。 4.运用于PowerPoint或Dreamweaver制作的课件或网站中。 【实验环境】 1.连接Internet的多媒体计算机实验室; 2.数字摄像机; 3.PowerPoint、Dreamweaver、Ulead VideoStudio(会声会影)等软件。【实验指导】 一、常用数字视频文件的格式 数字视频文件的格式有多种,不同的多媒体课件制作软件支持不同格式的数字视频文件。根据压缩编码的不同,下面是常见的数字视频文件格式。 1.AVI格式 AVI(Audio Video Interleaved)格式,即音频视频交错格式,可以将视频和音频交织在一起进行同步播放。它是Microsoft公司开发的一种符合RIFF文件规范

几种常见音频视频音乐文件格式

几种常见音频视频音乐文件格式 1、.wmv WMV是微软推出的一种流媒体格式,它是在“同门”的ASF(Advanced Stream Format)格式升级延伸来得。在同等视频质量下,WMV格式的体积非常小,因此很适合在网上播放和传输。AVI文件将视频和音频封装在一个文件里,并且允许音频同步于视频播放。与DV D视频格式类似,AVI文件支持多视频流和音频流。WMV 不是仅仅基于微软公司的自有技术开发的。从第七版(WMV1)开始,微软公司开始使用它自己非标准MPEG-4 Par t 2。但是,由于WMV第九版已经是SMPTE的一个独立标准(421M,也称为VC-1),有理由相信WMV的发展已经不象MPEG-4那样是一个它自己专有的编解码技术。现在VC-1专利共享的企业有16家(2006年4月),微软公司也是MPEG-4 AVC/H.264专利共享企业中的一家。微软的WMV还是很有影响力的。可是由于微软本身的局限性其WMV 的应用发展并不顺利。第一, WM9是微软的产品它必定要依赖着Windows,Windows 意味着解码部分也要有PC,起码要有PC机的主板。这就大大增加了机顶盒的造价,从而影响了视频广播点播的普及。第二,WMV技术的视频传输延迟非常大,通常要10几秒钟,正是由于这种局限性,目前WMV也仅限于在计算机上浏览WM9视频文件。WMV-HD是由软件业的巨头微软公司所创立的一种视频压缩格式,一般采用.wmv为文件后缀名。其压缩率甚至高于MPEG-2标准,同样是2小时的HDTV节目,如果使用MPEG-2最多只能压缩至30GB,而使用WMV-HD这样的高压缩率编码器,在画质丝毫不降的前提下都可压缩到15GB以下。WMV-HD,基于WMV9标准,是微软开发的视频压缩技术系列中的最新版本,尽管WMV-HD是微软的独有标准,但因其在操作系统中大力支持WMV系列版本,从而在桌面系统得以迅速普及。在性能上,WMV-HD的数据压缩率与H.264一样,两者的应用领域也极其相似,因此在新一代主流视频编码标准霸主地位的争夺之中,双方展开了针锋相对的斗争,而斗争的焦点集中在下一代光盘规格“HD DVD”和数字微波广播电视等领域。一般采用.wmv为后缀的HDTV文件就是采用的WMV-HD压缩的。目前DVD论坛已经初步批准将MPEG-2、H.264和微软的WMA-HD作为下一代DVD即HD-DVD技术的强制执行 标准。 2、.MOV MOV即QuickTime影片格式,它是Apple公司开发的音频、视频文件格式,用于存储常用数字媒体类型,如音频和视频。当选择QuickTime (*.mov)作为“保存类型”时,动画 将保存为.mov 文件. 用格式工厂1.90可以转换 3、.mpeg MPEG1 MPEG1格式即我们通常所说的VCD视频格式。它可针对SIF标准分辨率的图像进行压缩,视频速度每秒可播放30帧,具有画质好、音质接近于CD等优点,不过对解码芯片的运算能力有较高要求。

数字电视常见问题解决方案教学文稿

数字电视常见问题解决方案 问题一:电视输出的图象与声音不同步。 解决:出现这种问题,一般情况问题出在机顶盒,只需重启机顶盒即可。 问题二:电视有些频道出现无信号输出。 解决:发现无信号输出的频道,先找到对应的机顶盒,看看机顶盒上的信号灯是否亮着,如果没有亮则重启机顶盒。 问题三:电视信号不好,有雪花点出现。 解决:首先检查数视宝调制器的输出线路有没有问题,主要是看连接调制器上的线里面的铜芯有没有绝缘体。再检查楼层分支分配器与连接到房间的固定干线有没有问题,可以通过段落测试,直到找到信号干扰源在哪段,如果线路有问题不能改动,可以考虑更改调制器的频段来解决部分问题。 问题四:电视有图象没有声音或者有杂音。 解决: A、原来有声音,过几天突然没有声音了却有图象,这种情况一般问题出在机顶盒,把机顶盒重启可以解决问题。 B、还有一种就是,安装完后有图象没声音或者有杂音。这种情况跟伴音制式有关系,我们的产品调制器分了两种音制《D/K-I》制,一般情况电视机默认的音制为D/K制,而调制器的伴音制式为I制式时,则需要在电视机上把没有声音的频道调为I制即可,不同的电视伴音模式也有不同《6.5MHz-6.0MHz》这种电视默认为6.5MHz,跟上面的同样改为6.0MHz即可,又或者我们部分调制器设有外置DK/I伴音切换开关,用户可自由切换。 问题五:很多电视显示的节目都出现了马赛克的问题。 解决:出现马赛克的原因主要来源于广电信号主干,大部分原因都是因为信号太弱造成的,当然,也有太强造成的,因为机顶盒对信号源的强弱处理能力很差,在这种情况下,一般在本地前端增加放大器解决信号源太弱的问题,增加分支分配器或衰减器解决信号太强的原因。在运行过程中,其相关配件的损坏是很难查出的,如分配器、混合器等配件,根据问题出现的情况,出现问题的节目,找出原因和解决原因。 问题六:电视屏幕无节目图像。 [原因分析]机顶盒与电视机正常启动后出现这种情况时,有三种可能:(1)、机顶盒射频输入信号过低或无信号,(2)、音、视频线没有正确接入或接口损坏,(3)、TV/AV转换设置没有调到正确的位置。 [案例与维修]某家属某居民把电视转换到AV功能键上时,出现屏幕无图像故障。测量机顶盒输入电平,结果正常,查看音、视频线接入,已按照红白黄对色正确

数字视频格式及时序

数字视频格式及时序 从Consumer产品到Broadcast产品接触到的数字化的处理越来越多,模拟的越来越少。以前关注模拟视频的分析测试,现在则越来越多的要应对数字视频信号的处理。 1:这里列出一些常用的数字视频格式及时序以做参考。 符号单位描述 Name n/a Industry standard concise name for format 视频标 准 Hact pels Active Pixels Per Line 水平有效像素 Vact lines Active Lines per Frame 每帧有效行数

Htot pels Total Pixels Per Line 每行总样本 数 Vtot lines Total Lines per Frame 每帧总行数 ST n/a Scan Type ('i'=interlaced, 'p'=progressive) 扫描类型(i-隔行扫描,p-逐行扫描) F/s F/s Frames per second 帧频(Hz) HSYNC/s F/s HSYNC frequency/line pre second 行频(Hz) MSa/s MHz Samples per second (i.e. pixel clock frequency) 抽样频率Hbnk pels Blank Pixels per Line 水平消隐间隔 Vbnk lines Blank Lines per Frame 垂直消隐间隔 HS2HA pels HSYNC asserting edge to start of HACTIVE (in pels)有效起始像素/行同步信号有效触发沿到有效行之间的抽样时钟周期 2:数字视频区分奇/偶场的办法除了用逻辑分析仪看SAV/EAV值外最简单的方法是比较VSYNC和HSYNC信号的相位。如图所示,奇场的VSYNC和HSYNC的触发沿是对齐的,而偶场的VSYNC距离HSYNC的触发沿的位置大概在行扫描周期一半的位置。

各种常见视频格式的比较

常见的格式有以下一些: MPEG/MPG/DAT MPEG是Motion Picture Experts Group 的缩写。这类格式包括了MPEG-1, MPEG-2 和MPEG-4在内的多种视频格式。MPEG-1相信是大家接触得最多的了,因为目前其正在被广泛地应用在VCD 的制作和一些视频片段下载的网络应用上面,大部分的VCD 都是用MPEG1 格式压缩的( 刻录软件自动将MPEG1转为 .DAT格式) ,使用MPEG-1 的压缩算法,可以把一部120 分钟长的电影压缩到 1.2 GB 左右大小。MPEG-2 则是应用在DVD 的制作,同时在一些HDTV(高清晰电视广播)和一些高要求视频编辑、处理上面也有相当多的应用。使用MPEG-2 的压缩算法压缩一部120 分钟长的电影可以压缩到5-8 GB 的大小(MPEG2的图像质量是MPEG-1 无法比拟的)。 AVI AVI,音频视频交错(Audio Video Interleaved)的英文缩写。AVI这个由微软公司发表的视频格式,在视频领域可以说是最悠久的格式之一。AVI格式调用方便、图像质量好,压缩标准可任意选择,是应用最广泛的格式。 MOV 使用过Mac机的朋友应该多少接触过QuickTime。QuickTime原本是Apple 公司用于Mac计算机上的一种图像视频处理软件。Quick-Time提供了两种标准图像和数字视频格式, 即可以支持静态的*.PIC和*.JPG图像格式,动态的基于Indeo压缩法的*.MOV和基于MPEG压缩法的*.MPG视频格式。 ASF ASF (Advanced Streaming format高级流格式)。ASF 是MICROSOFT 为了和现在的Real player 竞争而发展出来的一种可以直接在网上观看视频节目的文件压缩格式。ASF使用了MPEG4 的压缩算法,压缩率和图像的质量都很不错。因为ASF 是以一个可以在网上即时观赏的视频“流”格式存在的,所以它的图像质量比VCD 差一点点并不出奇,但比同是视频“流”格式的RAM 格式要好。 WMV 一种独立于编码方式的在Internet上实时传播多媒体的技术标准,Microsoft 公司希望用其取代QuickTime之类的技术标准以及WAV、AVI之类的文件扩展名。WMV的主要优点在于:可扩充的媒体类型、本地或网络回放、可伸缩的媒体类型、流的优先级化、多语言支持、扩展性等。 NAVI 如果发现原来的播放软件突然打不开此类格式的AVI文件,那你就要考虑是不是碰到了n AVI。n AVI是New AVI 的缩写,是一个名为Shadow Realm 的地下组织发展起来的一种新视频格式。它是由Microsoft ASF 压缩算法的修改而来的(并不是想象中的AVI),视频格式追求的无非是压缩率和图像质量,所以NAVI 为了追求这个目标,改善了原始的ASF 格式的一些不足,让NAVI 可以拥有更高的帧率。可以这样说,NAVI 是一种去掉视频流特性的改良型ASF 格式。 3GP

相关主题
文本预览
相关文档 最新文档