当前位置:文档之家› 数字电子技术基础实验三 时序电路设计

数字电子技术基础实验三 时序电路设计

数字电子技术基础实验三 时序电路设计
数字电子技术基础实验三 时序电路设计

数字电子技术基础

实验报告

题目:实验三时序电路设计

小组成员:

小组成员:

实验三时序电路设计

一、实验目的

1.熟悉使用QuartusⅡ软件内嵌函数,实现脉冲信号;

2.了解掌握实验开发板上数码管和LED部分

3.强化对74161二进制计数器、7447七段译码器、74194移位寄存器的理解和应用。

二、实验要求

要求1:参照参考内容,用QuartusⅡ软件内嵌函数ipm_counter 实现50M分频,输出频率为1Hz秒脉冲信号,用实验板上绿色LED灯观察。

要求2:参照参考内容中数码管显示控制电路设计方法,用74161二进制计数器、7447七段译码器和若干门电路,用原理图输入方法实现一个七段数码管上显示0、1、2、3、4、5、0、2、4、1、3、5。

要求3:参照参考内容,用74161二进制计数器、74194移位寄存器和若干门电路,用原理图输入方法实现彩灯控制器电路设计。

验收要求:将要求2和要求3同时在电路上实现,验收时能够说明电路设计的原理。

注:如果电脑软件出现Megafunction无法启用,可利用绑定按键开关作为时钟信号,验收时需要演示波形仿真结果。

三、实验设备

(1)电脑一台;

(2)数字电路实验箱;

(3)数据线一根。

(4)EDO实验开发板一个

四、实验原理

要求1:(1)用QuartusⅡ软件内嵌函数ipm_counter实现50M分频,

输出频率为1Hz秒脉冲信号,并用实验板上绿色LED灯观察。

要求2:

(1)74161二进制计数器实现输出序列逻辑;

(2)7447七段译码器驱动七段译码管,共阳极数码管显示;

(3)经过卡诺图化简实现码制转换所需序列;

要求3:

(1)74161二进制计数器实现输出序列逻辑,同上;

(2)四位双向移位寄存器,具有左移,右移、保持、等功能。

五、实验内容

1、(要求一)

(1)原理图(Multisim和QuartusII中绘制的原理图):

上图所示原理图利用QuartusⅡ内建宏实现时钟端信号频率为1Hz。

2、(要求二)

(1)逻辑表达式变换过程

74161与7447七段译码器真值表对应关系如下:

Q c Q B Q A F D F C F B F A

0010001 0100010 0110011 1000100 1010101 1100000 1110010 0000100 0010001 0100011 0110101卡诺图如下

F C:

F B:

F A:

A

B

D

A

B

D

B

C

C

Q

Q

Q

Q

Q

Q

Q

Q+

+

=

F

A

B

D

A

B

C

B

Q

Q

Q

Q

Q

Q

F+

=

A

C

D

A

B

B

D

A

Q

Q

Q

Q

Q

Q

Q

F+

+

=

=

D

F

(2)原理图(QuartusII中绘制的原理图):(3)波形仿真:

(4)记录电路输出结果

3、(要求三)

(1)电路分析和变换过程

电路中741LS61(2)的QA取反与74LS194(1)的S0连在一起,

74LS194(2)的QA和S1直接连接,741LS61(1)的QA取反与本片的左移输入端SL连在一起,QD取反与SR连在一起。

电路中741LS61(2)的QD取反后连在右移输入端SR上,741LS61(2)的QA取反后连在左移输入端SL上,741LS61(1)的QD取反与74LS194(2)的SI上,741LS61 2的S0直接和74LS194(1)的QD连接。

(2)原理图(QuartusII中绘制的原理图):

(3)波形仿真:(去掉原理图中的1Hz脉冲,改为输入端)

(4)记录电路输出结果

由仿真结果可得;随着时钟脉冲下降沿的到来,花型——由两边向中间对称性依次亮,全亮后仍由两边向中间依次灭:花型——8路灯分为两半,从左自右顺次亮,再顺次灭;花型——8路灯分为两半,从右向左顺次亮,再从右向左顺次灭;花型——由中间向两边对称性一次亮,全亮后仍由中间向两边依次灭,并且循环出现。

六、实验过程中的问题

1.74161有时未产生置数或清零信号,且在原理图中尽可能使用置数,清零可能出现异常(同步置数,异步清零);

2.在原理图合并过程中,出现所粘贴原理图连线会变动的现象,导致运行出错。

3.由于对TTL集成元器件的使能端处理的不得当,影响元器件的工作状态和工作时间序列。

七、心得体会

1.本实验是对数字电子技术基础课程中相关内容的复习,同时也是一种实践的拓展。让我们更深刻的体会到了知识在实践中应用的效果;

2.在仿真和具体操作软件的过程中,遇到错误和不解之处,先自我发现问题,也积极地和同学探讨、向老师请教,认识到了自己的不足。

3.在得到正确结果后产生了对自己和组员的充分肯定,对时序逻辑电路分析和移位寄存器有了进一步理解,增强了自己对数电课程的兴趣。

3组合逻辑电路习题解答

3组合逻辑电路习题解答 33 自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。

实验3-1 时序逻辑电路设计

实验3 时序逻辑电路设计(1) 实验内容与步骤: 1.设计一个4路扭环计时器电路。 要求:计数器的状态每隔1S变换一次;利用LED1-LED4(低电平驱动)显示计数器。 实验步骤 1)新建工程文件夹; 2)启动Quartus II; 3)选择File->New Project Wizard,建立新工程; 4)要求:工程名与顶层实体名为johnson,器件选择“Cyclone”中的EP1C6Q240C8 5)File->New->Verilog HDL File建立Verilog设计文件; module johnson(clk,led); input clk;//输入时钟信号 output [3:0] led;//输出计数器计数状态,对应于开发板中的LED1-LED4,低电平点亮reg [3:0] led 6)选择Processing->Start->Start Analysis&Elaboration对源程序进行语法分析;6)选择Processing->Start->Start Analysis&Synthesis进行电路综合; 7)选择Tools->Netlist Viewers->RTL Viewer,查看综合后得到的电路; 8)选择Assignments->Pins进行器件引脚分配; 序号信号引脚编号 1 led[0](对应于开发板LED1) 50 2 led1[1](对应于开发板LED2) 53 3 led2[2](对应于开发板LED3) 54 4 led3[3](对应于开发板LED4) 55 5 clk(48MHZ时钟信号输入) 28 9)选择Assignments->Device,选择“Device and Pin Options”按钮,在打开的“Device and Pin Options”对话框中,选择“Unused Pins”选项卡,从中选择“As input tri-stated”选项。10)选择Processing->Start->Start Fitter进行器件适配; 11)选择Processing->Start->Start Assembler生成下载文件; 12)连接好实验箱中的跳线,并将实验箱与计算机相连,并打开实验箱电源; 13)选择Tools->Porgrammer选项,将设计文件下载到FPGA中,并观察实验结果。

实验五--时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20等。 三、实验原理和实验电路 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 清零预置使能时钟预置数据输入输出 工作模式R D LD EP ET CP A B C D Q A Q B Q C Q D 0 ××××()××××0 0 0 0 异步清零 1 0 ××D A D B D C D D D A D B D C D D同步置数 1 1 0 ××××××保持数据保持 1 1 ×0 ×××××保持数据保持 1 1 1 1 ××××计数加1计数3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器 同步清零法 同步置数法

时序逻辑电路实验报告

时序逻辑电路实验报告 一、实验目的 1. 加深理解时序逻辑电路的工作原理。 2. 掌握时序逻辑电路的设计方法。 3. 掌握时序逻辑电路的功能测试方法。 二、实验环境 1、PC机 2、Multisim软件工具 三、实验任务及要求 1、设计要求: 要求设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 2、实验内容: (1)按要求完成上述电路的功能。 (2)验证其功能是否正确。 四、实验设计说明(简述所用器件的逻辑功能,详细说明电路的设计思路和过程) 首先根据题目要求(即要完成1到9的奇数循环然后再0到8的偶数循环)画出真值表,如下图。画出真值表后,根据真值表画出各次态对应的卡诺图,如下图。然后通过化简卡诺图,得到对应的次态的状态方 程;

然后开始选择想要用于实现的该电路的器件,由于老师上课时所用的例题是用jk触发器完成的,我觉得蛮不错的,也就选择了同款的jk触发器;选好器件之后,根据状态方程列出jk触发器的驱动方程。然后根据驱动方程连接好线路图,为了连接方便,我也在纸上预先画好了连接图,以方便照着连接。接下来的工作就是在multisim上根据画好的草图连接器件了,然后再接上需要的显示电路,即可完成。

五、实验电路(画出完整的逻辑电路图和器件接线图)

六、总结调试过程所遇到的问题及解决方法,实验体会 1、设计过程中遇到过哪些问题?是如何解决的? 在设计过程中最大的问题还是忘记设计的步骤吧,因为老师是提前将实验内容已经例题讲解给我们听的,而我开始实验与上课的时间相隔了不短的时间,导致上课记下来的设计步骤忘得七七八八,不过好在是在腾讯课堂上得网课,有回放,看着回放跟着老师的思路走一遍后,问题也就迎刃而解了,后面的设计也就是将思路步骤走一遍而已,没再遇到什么困难。 2、通过此次时序逻辑电路实验,你对时序逻辑电路的设计是否有更清楚的认识?若没有,请分析原因;若有,请说明在哪些方面更加清楚。 通过这次时序逻辑电路实验,我最大的感触就是实验设计的思路与步骤一定要清晰,思路与步骤的清晰与否真的是造成实验设计是否困难的最重要的因素。清晰的话,做起实验来如同顺水推舟,毫不费力,不清晰的话则如入泥潭,寸步难行。

数字集成电路设计实验--反相器

数字集成电路设计实验 ——反相器电路实验 系部名称:电子工程学院 班级:微电子07 学生姓名: 班内序号: 学号:

一、S-EDIT原理图绘制: 二、T-SPICE: 2.1程序: * SPICE netlist written by S-Edit Win32 7.03 * Written on Nov 19, 2010 at 12:11:51 * Waveform probing commands .probe .options probefilename="not_gate.dat" + probesdbfile="D:\Tanner\tanner\TSpice70\fei\not_gate.sdb" + probetopmodule="Module0" * Main circuit: Module0 M1 output in Gnd Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M2 output in Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u v3 Vdd Gnd 5.0 v4 in Gnd pulse(0.0 5.0 0 2n 2n 100n 200n)

.include "D:\Tanner\tanner\TSpice70\models\ml2_125.md" .tran/op 5n 600n method=bdf .print tran v(in) v(output) End of main circuit: Module0 2.2仿真报告: 三、T-SPICE仿真结果 3.1瞬态分析:

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

西工大2017年数字集成电路设计实验课实验一

实验四 译码器的设计及延迟估算 1、 设计译码器并估算延迟 设计一个用于16bit 寄存器堆的译码器,每一个寄存器有32bit 的宽度,每个bit 的寄存器单元形成的负载可以等效为3个单位化的晶体管(后面提到负载都为单位化后的负载)。 译码器的结构可参考典型的4-16译码器 译码器和寄存器堆的连接情况(Output 输出为1的一行寄存器被选中) ① 假定4个寄存器地址位的正反8个输入信号,每个信号的输入负载可以等效为10。确定 译码器的级数,并计算相关逻辑努力,以此来确定每一级中晶体管的尺寸(相当于多少个单位化的晶体管)及整个译码电路的延迟(以单位反相器的延迟的本征延迟Tp0为单位)。 解: 96332,10int =?==ext g C C C ,9.696/10F ==? 假定每一级的逻辑努力:G=1,又因为分支努力(每个信号连接8个与非门): 81*8*1B ==, 路径努力8.7686.91=??==GFB H 所以,使用最优锥形系数就可得到最佳的电路级数39.36.3ln 8.76ln 6.3ln ln ===H N ,故N 取3级。 因为逻辑努力:2121G =??=,路径努力:6.15386.92=??==GFB H 则使得路径延时最小的门努力 36.5)6.153(3/1===N H h 。 所以: . 36.5136.5,68.2236.5, 36.5136.5132211=========g h f g h f g h f

故第一级晶体管尺寸为7.68 1036.5=?; 第二级尺寸为956.1768.27.6=?; 第三级尺寸为96244.9636.5956.17≈=?。 故延迟为:0008.22)36.5136.5436.51(p p p t t t =+++++= ② 如果在四个寄存器地址输入的时候,只有正信号,反信号必须从正信号来获得。每个正信号的输入的等效负载为20,使用与①中同样的译码结构,在这种条件下确定晶体管的大小并评估延迟(以单位反相器的延迟的本征延迟Tp0为单位)。 解:因为输入时通过两级反相器,使这两个反相器分摊原来单个反相器的等效扇出,将两级反相器等效为一级,故其逻辑努力32.236.5h ==, 故36.5,68.2,32.2,32.24321====f f f f 所以: 第一级尺寸为:()9.2832.210=?; 第二级尺寸为:728.632.29.2=?; 第三级尺寸为:03.1868.2728.6=?; 第四级尺寸为:65.9636.503.18=? 正信号通路的延迟为:()0036.2236.5136.5436.5132.2132.2p p p t t t =++++++++= 反信号通路情况与上问相同,延迟为0008.22)36.5136.5436.51(p p p t t t =+++++= 2、 根据单位反相器(NMOS:W=0.5u L=0.5u PMOS:W=1.8u L=0.5u),设计出实 际电路,并仿真1题中第一问的路径延迟。 设计出实际电路如下:

实验三 时序逻辑电路的VHDL设计

实验三时序逻辑电路的VHDL设计 一、实验目的与要求 1、目的 (1)熟悉VHDL语言的编程方法 (2)学会利用VHDL语言设计实现时序逻辑功能器件的逻辑功能。 (3)总结体会VHDL语言的编程技巧方法 2、要求 (1)调试程序要记录调试过程中出现的问题及解决办法; (2)给出每个问题的算法或画出流程图; (3)编写程序要规范、正确,上机调试过程和结果要有记录,并注意调试程序集成环境的掌握及应用,不断积累编程及调试经验; (4)做完实验后给出本实验的实验报告。 二、实验设备、环境 PII以上计算机,装有QuartusII软件 三、方法与步骤 (一)教师简单回顾所需知识并演示较一个简单功能的实现过程。 1、简单回顾组合逻辑电路的特点及常用逻辑功能器件的功能 2、回顾QuartusII的VHDL操作步骤 3、以JKFF为例,重点演示该时序逻辑单元的VHDL设计过程。 (1)JKFF的参考VHDL源程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY JK_FF IS PORT(J,K:IN STD_LOGIC; CLK:IN STD_LOGIC; Q:OUT STD_LOGIC); END JK_FF; ARCHITECTURE A OF JK_FF IS SIGNAL QTMP:STD_LOGIC; SIGNAL J_K:STD_LOGIC_VECTOR(0 TO 1); BEGIN J_K<=J&K; PROCESS(CLK,J_K) BEGIN IF CLK'EVENT AND CLK='1' THEN CASE J_K IS WHEN "00"=>NULL;

同步时序电路的设计步骤

同步时序电路的设计步骤 同步时序电路的设计步骤 同步时序电路的分析是根据给定的时序逻辑电路,求出能反映该电路功能的状态图。状态图清楚地表明了电路在不同的输入、输出原状态时,在时钟作用下次态状态的变化情况。同步时序电路的设计的设计是分析的反过程,其是根据给定的状态图或通过对设计要求的分析得到的状态图,设计出同步时序电路的过程。 这里主要讨论给定状态图的情况下的同步时序电路的设计,对于具体的要求得到状态图的过程一般是一个较复杂的问题,这是暂不讲。根据已知状态图设计同步时序电路的过程一般分为以下几步: 1.确定触发器的个数。首先根据状态的个数来确定所需要触发器的个数,如给定的状态个数为n,由应满足 n≤2K,K为实现这来状态所需要的触发器的个数。(实际使用时可能给定的状态中存在冗余项,这时一般还须对状态进行化简。) 2.列出状态转移真值表。根据状态列出状态转移真值表,也称状态表、状态转移表。 3.触发器选型。选择合适的触发器,通常可选的触发器有:JK-FF,D-FF,T-FF,一般使用较广的为JK-FF。根据状态图和给出的触发器的型号写出其输入方程,通常在写输入方程时须对其进行化简,以使电路更简单。 4.求出输出方程。根据状态表,求出输出逻辑函数Z的输出方程,还过有些电路没有独立的输出,这一步就省了。 5.画出逻辑图。根据输入方程、输出方程画出逻辑电路图。 6.讨论设计的电路能否自启动。在设计的电路中可能出现一些无关的状态,这些状态能否经过若干个时钟脉冲后进行有效的状态。 同步时序电路设计举例 例按下图状态图设计同步时序电路。 1.根据状态数确定触发器的数目:由状态图可以看出,其每个状态由两个状态,故可用两个触发器。其变量可 用Q 1,Q 表示; 2.根据状态图列出状态表:状态表的自变量为输入变量x和触发器当前状态Q 1 n,Q n,而应变量为触发器的次态 Q 1n+1Q n+1、及输出z,列表时将自变量的所有组合全部列出来,其中当Q 1 n Q n=01的状态为不出现,其输出可看作任意 项处理。

《单片机系统设计》实验报告

短学期实验报告 (单片机系统设计) 题目: 专业: 指导教师: 学生姓名: 学号: 完成时间: 成绩:

基于单片机的交流电压表设计 目录 1系统的设计要求 (2) 2系统的硬件要求 (2) 2.1真有效值转换电路的分析 (2) 2.2放大电路的设计 (3) 2.3A/D转换电路的设计 (3) 2.4单片机电路的分析 (4) 2.5显示电路 (4) 3 软件设计 (5) 3.1 软件的总流程图 (5) 3.2 初始化定义与定时器初始化流程图 (5) 3.3 A/D转换流程图 (6) 3.4 数据处理流程图 (6) 3.5 数据显示流程图 (7) 4 调试 (7) 4.1 调试准备 (7) 4.2 关键点调试 (7) 4.3 测试结果 (8) 4.4 误差分析 (8) 5结束语 (8) 5.1 总结 (9) 5.2 展望 (9) 附录1 总原理图 (10) 附录2 程序 (10) 附录3 实物图 (14)

基于单片机的交流电压表设计 ****学院 ****专业 姓名 指导老师:******* 1 设计要求 (1)运用单片机实现真有效值的检测和显示。 (2)数据采集使用中断方式,显示内容为有效值与峰值交替进行。 2 硬件设计 本系统是完成一个真有效值的测量和显示,利用AD737将交流电转换成交流电压的有效值,用ADC0804实现模数转换,再通过单片机用数码管来显示。系统原理框图如图2-1所示。系统框图由真有效值转换电路、放大电路、A/D 转换电路、单片机电路、数码管显示电路五部分。 图2-1 原理框图 2.1 真有效值转换电路 真有效值转换电路主要是利用AD737芯片来实现真有效值直流变换的,即将输入的交流信号转换成直流信号的有效值,其原理图如图2-2所示。 图2-2 真有效值转换电路 由于AD737最大输入电压为200mV, 所以需要接两个二极管来限制输入电压,起到限幅的作用。如图中D1、D2,由IN4148构成,电容C6是耦合电容,电阻R1是限流电阻。 2.2 放大电路设计 放大电路主要是利用运放uA741来进行放大,电路原理图如图2-3所示。 A/D 转换 单片机 电路 显示 电路 转换 电路 交流 信号 放大 电路

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

第3章组合逻辑电路1

第 3章 组合逻辑电路 逻辑电路按照逻辑功能的不同可分为两大类:一类是组合逻辑电路(简称组合电路), 另一类是时序逻辑电路(简称时序电路)。所谓组合电路是指电路在任一时刻的输出状态只与同一时刻各输入状态的组合有关,而与前一时刻的输出状态无关。组合电路的示意图如图所示。组合逻辑电路的特点: (1) 输出、输入之间没有反馈延迟通路。 (2) 电路中不含记忆元件。 图 组合电路示意图 组合逻辑电路的分析方法和设计方法 (1)3.1.1组合逻辑电路的分析方法 分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,或者检查电路设计 是否合理。 组合逻辑电路的分析步骤如下: (1) 根据已知的逻辑图, 从输入到输出逐级写出逻辑函数表达式。 (2) 利用公式法或卡诺图法化简逻辑函数表达式。 (3) 列真值表, 确定其逻辑功能。 例 1 分析如图所示组合逻辑电路的功能。 解(1) (2)化简 (3) 例真值表:如表3·1所示 图 例 1 的逻辑电路 X 1X 2 X n 12 m 输入信号 输出信号 AC BC AB Y ??=AC BC AB Y ++= A B B C A C Y

表例1的真值表 由表可知,若输入两个或者两个以上的1(或0), 输出Y为1(或0), 此电路在实际应用中可作为多数表决电路使用。 例 2分析如图所示组合逻辑电路的功能。 解(1) 写出如下逻辑表达式: (2) 化简AB Y= 1 AB A Y A Y? = ? = 1 2 B AB B Y Y? = ? = 1 3 B AB AB A Y Y Y? ? = = 3 2 B AB AB A Y? ? = ) ( ) (B AB AB A+ ? + = AB B A+ = B A⊕ =

实验五时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告一、实验目的 1掌握同步计数器设计方法与测试方法。 2 ?掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20 等。 三、实验原理和实验电路 1计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2. (1)四位二进制(十六进制)计数器74LS161 (74LS163) 74LS161是同步置数、异步清零的4位二进制加法计数器,其功能表见表。 74LS163是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LS161相同。二者的外部引脚图也相同,如图所示。 3.集成计数器的应用一一实现任意M进制计数器

Eft CR IK rh th Ih ET 7-I1A C1M /( 制扭环计数 同步清零法器 同步置数法 般情况任意M 进制计数器的结构分为 3类,第一类是由触发器构成的简单计数器。 第 二类是 由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。 第一类,可利用时序逻辑电路的设计方法步骤进行设计。 第二类,当计数器的模 M 较小时用 一片集成计数器即可以实现,当 M 较大时,可通过多片计数器级联实现。两种实现方法:反 馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4?实验电路: 十进制计数器 1

图74LS161 (74LS163)外部引脚图 四、实验内容及步骤 1 .集成计数器实验 (1)按电路原理图使用中规模集成计数器74LS163和与非门74LS00,连接成一个同步置数或同步清零十进制计数器,并将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二极管的变化,记录得到电路计数过程和状态的转换规律。 (2)根据电路图,首先用D触发器74LS7474构成一个不能自启的六进制扭环形计数器,同样将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二 极管的变化,记录得到电路计数过程和状态的转换规律。注意观察电路是否能自启,若不能自启,则将电路置位有效状态。接下来再用D触发器74LS7474构成一个能自启的六进制扭环 形计数器,重复上述操作。 2?分频实验 依据实验原理图用74LS163及74LS00组成一个具有方波输出的六分频电路。选择适当时钟输入方式及频率(CP接连续波脉冲),用双踪示波器观察并记录时钟与分频输出信号的时序波形。 五、实验结果及数据分析 1 .集成计数实验同步清零和同步置数的十进制加一计数器状态转换过程分别如下所示: 0000 : 0001 : 0010 : 0011 ; 0100 爲00*卄庇爲爲卄yh 六进制扭环形计数器的状态转换过程如下:

实验三 组合逻辑电路

实验三组合逻辑电路(常用门电路、译码器和数据选择器) 一、实验目的 1.掌握组合逻辑电路的设计方法 2.了解组合逻辑电路的冒险现象与消除方法 3.熟悉常用门电路逻辑器件的使用方法 4.熟悉用门电路、74LS138和74LS151进行综合性设计的方法 二、实验原理及实验资料 (一)组合电路的一般设计方法 1.设计步骤 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成的工作。组合逻辑电路的一般设计步骤如图3.1所示。 图3.1 组合逻辑电路的一般设计步骤 设计组合逻辑电路时,通常先将实际问题进行逻辑抽象,然后根据具体的设计任务要求列出真值表,再根据器件的类型将函数式进行化简或变换,最后画出逻辑电路图。 2. 组合电路的竞争与冒险(旧实验指导书P17~20) (二)常用组合逻辑器件 1.四二输入与非门74LS00 74LS00为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.2所示。它共有四个独立的二输入“与非”门,每个门的构造和逻辑功能相同。 图3.2 74LS00引脚排列及内部逻辑结构 2.二四输入与非门74LS20

74LS20为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.3所示。它共有两个独立的四输入“与非”门,每个门的构造和逻辑功能相同。 图3.3 74LS20引脚排列及内部逻辑结构 3.四二输入异或门74LS86 74LS86为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.4所示。它共有四个独立的二输入“异或”门,每个门的构造和逻辑功能相同。 图3.4 74LS86引脚排列及内部逻辑结构 3.3线-8线译码器74LS138 74LS138是集成3线-8线译码器,其功能表见表3.1。它的输出表达式为 i A B i Y G G G m 122(i =0,1,…7;m i 是最小项),与基本门电路配合使用,它能够实现任何三变量的逻辑函数。74LS138为双列直插16脚塑料封装,外部引脚排列如图3.5所示。

实验三时序逻辑电路

实验三时序逻辑电路 学习目标: 1、掌握时序逻辑电路的一般设计过程 2、掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求 3、掌握时序逻辑电路的基本调试方法 4、熟练使用示波器和逻辑分析仪观察波形图 实验内容: 1、广告流水灯(第 9 周课内验收)用触发器、组合函数器件和门电路设计一个广告流水灯,该流水灯由 8 个 LED 组成,工作时始终为 1 暗 7 亮,且这一个暗灯循环右移。 (1) 写出设计过程,画出设计的逻辑电路图,按图搭接电路 (2) 将单脉冲加到系统时钟端,静态验证实验电路 (3) 将 TTL 连续脉冲信号加到系统时钟端,用示波器观察并记录时钟脉冲 CP、触发器的输出端 Q2、Q1、 Q0 和 8 个 LED 上的波形。 2、序列发生器(第 10 周课内实物验收计数器方案)分别用 MSI 计数器和移位寄存器设计一个具有自启动功能的 01011 序列信号发生器 (1) 写出设计过程,画出电路逻辑图 (2) 搭接电路,并用单脉冲静态验证实验结果 (3) 加入 TTL 连续脉冲,用示波器观察观察并记录时钟脉冲 CLK、序列输出端的波形。 3、4 位并行输入-串行输出曼切斯特编码电路(第10周课内验收,基础要求占70%,扩展要求占30%) 在电信与数据存储中, 曼彻斯特编码(Manchester coding),又称自同步码、相位编码(phase encoding,PE),它能够用信号的变化来保持发送设备和接收设备之间的同步,在以太网中,被物理层使用来编码一个同步位流的时钟和数据。曼彻斯特编码用电压的变化来分辨 0 和 1,从高电平到低电平的跳变代表 0,而从低电平到高电平的跳变代表 1。信号的保持不会超过一个比特位的时间间隔。即使是 0 或 1 的序列,信号也将在每个时间间隔的中间发生跳变。这种跳变将允许接收设备的时钟与发送设备的时钟保持一致,图 3.1 为曼切斯特编码的例子。 设计一个电路,它能自动加载 4 位并行数据,并将这4位数据逐个串行输出(高位在前),每个串行输出位都被编码成曼切斯特码,当 4 位数据全部传输完成后,重新加载新数据,继续传输,如图 3.2 所示。

CMOS数字集成电路设计_八位加法器实验报告

CMOS数字集成电路设计课程设计报告 学院:****** 专业:****** 班级:****** 姓名:Wang Ke qin 指导老师:****** 学号:****** 日期:2012-5-30

目录 一、设计要求 (1) 二、设计思路 (1) 三、电路设计与验证 (2) (一)1位全加器的电路设计与验证 (2) 1)原理图设计 (2) 2)生成符号图 (2) 3)建立测试激励源 (2) 4)测试电路 (3) 5)波形仿真 (4) (二)4位全加器的电路设计与验证 (4) 1)原理图设计 (4) 2)生成符号图 (5) 3)建立测试激励源 (5) 4)测试电路 (6) 5)波形仿真 (6) (三)8位全加器的电路设计与验证 (7) 1)原理图设计 (7) 2)生成符号图 (7) 3)测试激励源 (8) 4)测试电路 (8) 5)波形仿真 (9) 6)电路参数 (11) 四、版图设计与验证 (13) (一)1位全加器的版图设计与验证 (13) 1)1位全加器的版图设计 (13) 2)1位全加器的DRC规则验证 (14) 3)1位全加器的LVS验证 (14) 4)错误及解决办法 (14) (二)4位全加器的版图设计与验证 (15) 1)4位全加器的版图设计 (15) 2)4位全加器的DRC规则验证 (16) 3)4位全加器的LVS验证 (16) 4)错误及解决办法 (16) (三)8位全加器的版图设计与验证 (17) 1)8位全加器的版图设计 (17) 2)8位全加器的DRC规则验证 (17) 3)8位全加器的LVS验证 (18) 4)错误及解决办法 (18) 五、设计总结 (18)

实验3 组合逻辑电路

实验三组合逻辑电路 一、实验目的 1.掌握组合逻辑电路的设计方法 2.熟悉常用组合逻辑器件的使用方法 3.熟悉用逻辑门电路、74LS138和74LS151进行综合性设计的方法 二、试验设备和器件 设备:数字电子技术试验箱 器件:74LS00,74LS20,74LS86,74LS138,74LS151 三、实验内容 1.实现一位全加器 (1) 按照组合逻辑电路的一般设计步骤,用基本门电路(74LS00,74LS86)实现 一位全加器; (2) 用1片74LS138和1片74LS20实现一位全加器。 2. 设计一个监测信号灯工作状态的逻辑电路,每一组信号灯由红、黄、绿三盏构成,仅有红灯R亮、仅有绿灯G亮、黄灯Y和绿灯G同时亮为正常工作状态,其余为故障状态。故障状态时要发出报警信号。要求用74LS151实现。 (1) 逻辑抽象。红黄绿三盏信号灯的状态为输入变量,分别用R、Y、G表示,并规定灯亮时为1,灭时为0;故障信号为输出变量,用Z表示,并规定正常工作状态下Z为0,发生故障时Z为1; (2) 列真值表于表3-1;

(3) 根据真值表写出用最小项表示的Z的逻辑表达式; (4) 按照逻辑表达式进行电路连接,画出电路连接图,并对电路进行测试。 3. 设计并实现实验指导书中四、3的电话程控系统(选作) 四、实验报告 1.实验预习 (1) 熟练掌握组合逻辑电路的一般设计步骤; (2) 了解74LS00,74LS20,74LS86,74LS138,74LS151的功能表,引脚图和使用注意事项,熟练掌握使用它们实现逻辑函数的方法; (3) 完成实验的预习报告,包括:实验目的、试验设备、布置的实验内容及步骤、原始数据记录表格及设计电路。 2. 实验及数据处理 (1) 根据布置的实验内容认真完成实验中的各项任务,仔细观察实验中的各种现象并加以分析; (2) 完成真值表,记录实验数据并进行分析。 3. 思考题 (1) 3-8线译码器74LS138在正常工作状态下,输入011 ABC 时,哪一个译码输出端为有效电平?由此说明A、B、C中哪一个为高位输入端? (2) 若用74LS138译码器实现数据分配器,应选择74LS138的哪个引脚作为数据分配器的数据输入端? 4.实验的注意事项及主要经验教训

实验三vhdl时序逻辑电路设计

实验三 VHDL 时序逻辑电路设计 一、实验目的 1.熟悉用VHDL语言设计时序逻辑电路的方法 2.熟悉用Quartus文本输入法进行电路设计 二、实验所用仪器元件及用途 1.计算机:装有Quartus软件,为VHDL语言提供操作场所。 2.直流稳压电源:通过USB接口实现,为实验开发板提供稳定电源。 3.数字系统与逻辑设计实验开发板:使试验结果下载到开发板上,实现整个实验的最终结果。 三、实验内容 1.用VHDL语言设计实现一个8421码十进制计数器。 (1)实验内容及要求:在Quartus平台上设计程序和仿真题目要求,并下载到实验板上验证试验结果。 (2)试验结果:VHDL代码和仿真结果。 2.用VHDL语言设计实现一个分频系数为8,分频输出信号占空比为50%的分频器。 (1)实验内容及要求:在Quartus平台上设计程序和仿真题目要求。 (2)试验结果:VHDL代码和仿真结果。 3.用VHDL语言设计实现一个控制8个发光二极管亮灭的电路。 (1)实验内容及要求:在Quartus平台上设计程序和仿真题目要求,并下载到实验板上验证试验结果。 a.单点移动模式:一个点在8个发光二极管上来回的亮 b.幕布式:从中间两个点,同时向两边依次点亮直至全亮,然后再向中间 点灭,依次往复 c.通过拨码开关或按键控制两种模式的转换 (2)试验结果:VHDL代码和仿真结果。 四、实验设计思路及过程 1.8421码十进制计数器状态转移表 左图为8421码十进制 计数器的状态转移表,abcd 为初状态,ABCD为下一状 态,每当有“1”出现时, 相应的管脚就亮灯,从而从 0000到1001的灯依次出 现。 VHDL代码如下: LIBRARY IEEE;

[VIP专享]EDA 实验2简单分频时序逻辑电路设计 实验报告

时序电路设计 实验目的: 1.掌握条件语句在简单时序模块设计中的使用。 2.学习在Verilog模块中应用计数器。 实验环境: Windows 7、MAX+PlusⅡ10等。 实验内容: 1.模为60的8421BCD码加法计数器的文本设计及仿真。 2.BCD码—七段数码管显示译码器的文本设计及仿真。 3.用For语句设计和仿真七人投票表决器。 4.1/20分频器的文本设计及仿真。 实验过程: 一、模为60的8421BCD码加法计数器的文本设计及仿真: (1)新建文本:选择菜单File下的New,出现如图5.1所示的对话框,在框中选中“Text Editor file”,按“OK”按钮,即选中了文本编辑方式。 图5.1 新建文本 (2)另存为Verilog编辑文件,命名为“count60.v”如图5.2所示。 (3)在编辑窗口中输入程序,如图5.3所示。

图5.2 另存为.V编辑文件图5.4 设置当前仿真的文本设计 图5.3 模为60的8421BCD码加法计数器的设计代码

(4)设置当前文本:在MAX+PLUS II中,在编译一个项目前,必须确定一个设计文件作为当前项目。按下列步骤确定项目名:在File菜单中选择Project 中的Name选项,将出现Project Name 对话框:在Files 框内,选择当前的设计文件。选择“OK”。如图5.4所示。 (5)打开编译器窗口:在MAX—plusⅡ菜单内选择Compiler 项,即出现如图5.5的编译器窗口。 图5.5 编译器窗口 选择Start即可开始编译,MAX+PLUS II编译器将检查项目是否有错,并对项目进行逻辑综合,然后配置到一个Altera 器件中,同时将产生报告文件、编程文件和用于时间仿真用的输出文件。 (6)建立波形编辑文件:选择菜单File下的New选项,在出现的New对话框中选择“Waveform Editor File”,单击OK后将出现波形编辑器子窗口。 (7)仿真节点插入:选择菜单Node下的Enter Nodes from SNF选项,出现如图5.6所示的选择信号结点对话框。按右上侧的“List”按钮,在左边的列表框选择需要的信号结点,然后按中间的“=>”按钮,单击“OK”,选中的信号将出现在波形编辑器中。 图5.6 仿真节点插入

3组合逻辑电路习题解答

自我检测题 1.组合逻辑电路任何时刻的输出信号.与该时刻的输入信号 有关 .与以前的输入信号 无关 。 2.在组合逻辑电路中.当输入信号改变状态时.输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I .输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时.输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时.当输入A 2A 1A 0=001时.输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器.输入信号为两个要比较的一位二进制数.用A 、B 表示.输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ).则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加.并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√.× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险.以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时.输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时.处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中.若输入有4位代码.则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0.当A >B 时输出F =1.则F 表达式是 。

相关主题
文本预览
相关文档 最新文档