当前位置:文档之家› 数字时钟设计实验报告

数字时钟设计实验报告

电子课程设计题目:数字时钟

数字时钟设计实验报告

一、设计要求:

设计一个24小时制的数字时钟。

要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。

二、设计方案:

由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。

计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。

校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。

三、电路框图:

图一 数字时钟电路框图

译码译码译码时计数

分计数

秒计数

校 时 电 路 秒信号发生器

四、电路原理图:

(一)秒脉冲信号发生器

秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。

振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz

脉冲。

分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz标准秒脉冲。其电路图如下:

图二秒脉冲信号发生器

(二)秒、分、时计时器电路设计

秒、分计数器为60进制计数器,小时计数器为24进制计数器。

60进制——秒计数器

秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数

器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下:

图三 60进制--秒计数电路

60进制——分计数电路

分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给时的个位。其电路图如下:

图四 60进制--分计数电路

24进制——时计数电路

来自分计数电路的进位脉冲使时的个位加,个位计数器由0增加到9是产生进位,连在十位计数器脉冲输入端CP,当十位计到2且个位计到3是经过74LS11与门产生一个清零信号,将所有CD40110清零。其电路图如下:

图五 24进制--时计数电路

译码显示电路

译码电路的功能是将秒、分、时计数器的输出代码进行翻译,变成相应的数字。用以驱动LED七段数码管的译码器常用的有74LS148。74LS148是BCD-7段译码器/

驱动器,输出高电平有效,专用于驱动LED七段共阴极显示数码管。若将秒、分、时计数器的每位输出分别送到相应七段数码管的输入端,便可以进行不同数字的显示。在译码管输出与数码管之间串联电阻R作为限流电阻。其电路图如下:

图六译码显示电路

校时电路

校时电路是数字钟不可缺少的部分,每当数字钟与实际时间不符时,需要根据标准时间进行校时。一般电子表都具有时、分、秒等校时功能。为了使电路简单,在此设计中只进行分和小时的校时。“快校时”是通过开关控制,使计数器对1Hz 校时脉冲计数。图中S1为校正用的控制开关,校时脉冲采用分频器输出的1Hz脉冲,当S1为“0”时可以进行“快校时”。其电路图如下:

图七 校队电路

五、实验方法:

1、秒脉冲产生部分

采用555多谐振荡器产生1HZ 频率信号,作为秒脉冲及整体电路的信号输

入部分。其仿真电路图如下图所示:

图八 秒脉冲发生器仿真电路

2、计数电路

电子钟计时分为小时、分钟和秒,其中小时为二十四进制,分钟和秒均为六十进制,输出可以用数码管显示,所以要求二十四进制为00000000~00100100计数,六十进制为00000000~01100000计数,并且均为8421码编码形式。

8

9 10

U10C

74LS00 1 2 3 U11A

74LS00

11

12 13 U10D

74LS00 R3

C1

S1

GND

10

11

U8E

74LS04

1H Z

S2/M2 Q2 +5V

(1)小时计数——二十四进制电路仿真

用两片74LS160N(分A片、B片)设计一个一百进制的计数器,在24(00100100)处直接取出所有为1的端口,经过输入与非门74LS00D,再给两个清零端CLR。使用74LS160N异步清零功能完成二十四进制循环,计数范围为0~23。然后用七段显示译码器74LS47D将A、B两片74LS160N的输出译码给LED 数码管。仿真电路如图九所示。:

图九 24进制——时计数器仿真电路

(2)分钟、秒计数——六十进制电路仿真

此电路类似于二十四进制计数器,采用74LS160N设计出一百进制的计数器,在60(01100000)处直接取出所有为1的端口,经过输入与非门74LS00D,再给两个清零端CLR。使用74LS160N异步清零功能完成六十进制循环,计数范围为0~59。然后用七段显示译码器74LS47D将A、B两片74LS160N的输出译码给LED数码管。仿真电路如图所示:

图十 60进制——秒计数器仿真电路

图十一 60进制——分计数器仿真电路(四)校时校分(秒)电路。

数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。这里利用两个与非门加一个单刀双掷开关来实现校时功能。第一个74LS00D与非门的输入端一端接清零信号,另一端接第二个与非门的输入端,第二个74LS00D的输入端一端接计数脉冲,另一端接一个单刀双掷开关。开关接通的一段接地,另一端接高电平。当开关打到另一端时,时或分的个位就单独开始计数,这样就能实现校时功能。其电路图如图所示:

图十二校分仿真电路

六、实验结果和结论:

数字时钟仿真电路图如下图所示,在中进行仿真,可以实现数字时钟的显示功能、校时功能。显示功能中,小时实现的是24进制,分和秒实现的是60进制,通过校时电路能够分别校对时和分。

图十三数字时钟仿真电路

七、设计体会:

在本次Multisim仿真过程,从安装软件、选定课题、设计电路、进行仿真、运行结果都自己实际操作完成。在数字时钟设计中,根据老师上课所讲的内容,可以用两片集成十进制同步计数器74LS160D级联为100进制,再利用其异步清零功能,可以分别实现小时的24进制和分秒的60进制。当然,在仿真过程中也遇到了很多困难和问题。比如说,无法直接从秒进位到分和分进位到时,并且在仿真中总是出错。于是自己请教了一些也做数字时钟的同学,同时在网上查找了相关资料,最后终于用两个与非门和单刀双掷开关实现了从秒到分的进位、分到时的进位功能及校准功能。

通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了

学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。

当然,自己的仿真技术和应用能力还是很欠缺的,虽然完成了基本的设计要求,但是很多自己想要的扩展功能还未能实现。而且很多时候会走过很多弯路,浪费了很多不必要的时间。不过,这次设计经历必将使我受益终身,让我明白如何更好的获取知识,如何更好的理论联系实际。今后的学习更需要不断努力,在获得知识的同时获得快乐,真正的主动探索,主动学习,形成自己的思维方式,不断应用,不断进取。

数字电子钟实验报告

咸阳师范学院物理与电子工程学院 课程设计报告 题目: 班级: 姓名: 学号: 指导教师: 成绩: 完成日期:年月

目录 第一章概述 3 第二章数字电子钟的电路原理 4 第三章电路调试与制作11 第四章总结与体会12 第五章附录13

第一章概述 数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,运运超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 虽然市场上已有现成的数字集成电路芯片出售,价格便宜,使用方便,这里所制作的数字电子可以随意设置时,分的输出,是数字电子中具有体积小、耗电省、计时准确、性能稳定、维护方便等优点。 课程设计目的 (1)加强对电子制作的认识,充分掌握和理解设计个部分的工作原理、设计过程、选择芯片器件、电路的焊接与调试等多项知识。 (2)把理论知识与实践相结合,充分发挥个人与团队协作能力,并在实践中锻炼。 (3)提高利用已学知识分析和解决问题的能力。 (4)提高实践动手能力。

第二章数字电子钟的电路原理 数字电子钟的设计与制作主要包括:数码显示电路、计数器与校时电路、时基电路和闹铃报时电路四个部分。 1.数码显示电路 译码和数码显示电路是将数字钟的计时状态直观清晰地反映出来。显示器件选用FTTL-655SB双阴极显示屏组。在计数电路输出信号的驱动下,显示出清晰的数字符号。 2.计数器电路 LM8560是一种大规模时钟集成电路它与双阴极显示屏组可以制成数字钟钟控电路。 3.校时电路 数字钟电路由于秒信号的精确性和稳定性不可能做到完全准确无误,时基电路的误差会累积;又因外部环境对电路的影响,设计产品会产生走时误差的现象。所以,电路中就应该有校准时间功能的电路。通过手动调节按键,达到校准的目的。 4.定时报警电路 当调好定时间后并按下开关K1(白色键),显示屏右下方有红点指示,到定时时间有驱动信号经R3使VT1工作,即可定时报警输出。 芯片资料 LM8560是一种大规模时钟集成电路它与双阴极显示屏组可以制成数字钟钟控电路。作为时钟,它准确醒目;作为控制开关,它动作无误;在1小时59分钟或59分钟内,能任意暂停,使用十分方便。 仔细观察从0-9的每个数字并比较图1所示的笔段。内部电路参看图2, LM8560各脚功能,参看图3。

数字时钟设计实验报告

电子课程设计题目:数字时钟

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一 数字时钟电路框图 译码译码译码时计数 分计数 秒计数 校 时 电 路 秒信号发生器

四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz 脉冲。 分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz标准秒脉冲。其电路图如下: 图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数

器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三 60进制--秒计数电路 60进制——分计数电路 分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给时的个位。其电路图如下:

数电课程实验报告——数字钟的设计

. 《数字电子技术》课程设 计报告 设计题目: 数字钟 班级学号:1407080701221 1407080701216 1407080701218 学生:志强企海清 指导教师:周玲 时间:2016.6.15-2016.6.16

《数字电子技术》课程设计 一、设计题目:数字钟的设计 一、设计任务与要求: 1.时钟显示功能,能够以十进制显示“时”、“分”、“秒”。其中时为24进制,分秒为60进制。 2. 其他功能扩展: (1)设计一个电路实现时分秒校准功能。 (2)闹钟功能,可按设定的时间闹时。 (3)设计一个电路实现整点报时功能等。在59分51秒、53秒、55秒、57秒输出750Hz 音频信号,在59分59秒时输出1000Hz信号,音频持续1s,在1000Hz荧屏结束时刻为整点。 二、设计方案: 数字电子钟由石英晶体振荡器、分频器、计数器、译码器显示器和校时电路组成。振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。计数器的输出分别经译码器送显示器显示。计时出现误差时,可以用校时电路校时、校分。 三、芯片选定及各单元功能电路说明: 实验器材及主要器件 (1)CC4511 6片 (2)74LS90 5片 (3)74LS92 2片 (4)74LS191 1片 (5)74LS00 5片 (6)74LS04 3片

(7)74LS74 1片 (8)74LS2O 2片 (9)555集成芯片1片 (10)共阴七段显示器6片 (11)电阻、电容、导线等若干 ①振荡器 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。这用压电谐振的频率即为晶体振荡器的固有频率。 一般来说,般来说,振荡器的频率越高,计时精度越高,但耗电量将增大。如果精度要求不高也可以采用由集成电路定时器555与RC组成的多谐振荡器。如图1所示。设振荡频率f=1KHz,R为可调电阻,微调R1可以调出1KHz输出。 图1 ②分频器 由于振荡器产生的频率很高,要得到秒脉冲,需要分屏电路。本实验由集成电路定时器555与RC组成的多谐振荡器,产生1KHz的脉冲信号。故采用3片中规模集成电路计数器74LS90来实现,得到需要的秒脉冲信号。

电子钟设计实验报告

数电课程设计 实 验 报 告 班级:通信工程1001班 姓名:XX 学号:、、、、、、、、

数字钟的设计与制作 一、设计任务 本次课程设计要求以中规模集成电路为主,利用所学知识,设计一个数字钟。通过本次课程设计,进一步加强数字电路综合应用能力,掌握数字电路的设计技巧,增强实践能力,以及熟练掌握数字钟的系统设计、组装、调试及故障排除的方法。 二、设计要求 1.设计一台可以显示时、分、秒的数字钟。 2.具有校时功能,可以对时、分秒单独校时。 3.具有整点报时功能。 3.要求电路主要采用中小规模数字集成电路来实现。 三、工作原理 数字电子钟由秒信号发生器。“时、分、秒”计数器、译码显示器、校时电路、整点报时电路等组成。秒信号发生器主要由555振荡器分频后得到;秒、分都是60进制,故由60进制计数器构成;时为24进制,即由24进制计数器构成;显示部分由译码和数码显示构成,将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位数码管显示出来。校时电路和整点报时电路由门电路和开关等构成。 1、秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 ●振荡器: 通常用555定时器与RC构成的多谐振荡器,输出2KHz脉冲。 ●分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能扩展电路所需要的信号,选用六片进行CC4518,因为每片为1/10分频器,三片级联刚好获得2Hz脉冲,再经过二分频得到标准1HZ脉冲,其余两片构成两个二分频得到1KHZ和500HZ脉冲供整点报时用,其电路图如下:

数字时钟实验报告

数字时钟实验报告 数字时钟实验报告 引言: 数字时钟是一种常见的时间显示设备,它以数字的形式直观地展示时间,广泛 应用于家庭、学校、办公场所等各个领域。本次实验旨在通过制作一个简单的 数字时钟,了解数字时钟的工作原理和构造,并通过实践掌握相关的电子元件 和电路知识。 一、实验材料和仪器: 1. 电子元件:7段LED数码管、集成电路555计时器、电阻、电容等。 2. 仪器:数字万用表、示波器、电源等。 二、实验步骤: 1. 电路连接: 首先,将7段LED数码管按照电路图连接到555计时器的输出引脚上。然后,根据电路图连接电阻和电容,形成555计时器的工作电路。最后,将电源连接 到电路上,确保电路供电正常。 2. 电路调试: 打开电源后,使用数字万用表检测电路各个节点的电压和电流,确保电路连 接正确,并且电压、电流符合设计要求。然后,使用示波器观察555计时器输 出的方波信号,并调节电阻和电容的数值,使得方波信号的频率和占空比符合 数字时钟的要求。 3. 数字时钟显示: 当电路调试完成后,数字时钟即可正常工作。通过改变555计时器的频率,

可以实现数字时钟的时间显示刷新频率调节。通过观察7段LED数码管的亮灭 情况,可以准确读取当前的时间。 三、实验结果分析: 通过实验,我们成功制作了一个简单的数字时钟。通过调节电路中的元件数值,我们可以改变数字时钟的刷新频率和显示方式。实验中,我们还发现了以下几 个问题和现象: 1. 数码管亮度不均匀: 在实验过程中,我们发现数码管的亮度不均匀,有些段显示较亮,而有些段 显示较暗。这是由于数码管内部的发光二极管的特性不完全一致,导致亮度差异。为了解决这个问题,可以采用亮度均衡电路或者更换亮度较为一致的数码管。 2. 时钟误差: 在实验中,我们发现数字时钟的时间显示与实际时间存在一定的误差。这是 由于555计时器的精度有限,以及电容和电阻的误差累积导致的。为了提高数 字时钟的精度,可以选择更高精度的计时器和优质的电子元件。 3. 电路稳定性: 在实验过程中,我们发现电路的稳定性对数字时钟的正常工作十分重要。如 果电路连接不牢固或者供电不稳定,会导致数字时钟无法正常显示时间。因此,在实际应用中,需要保证电路的稳定性和可靠性。 四、实验总结: 通过本次实验,我们深入了解了数字时钟的工作原理和构造,并通过实践掌握 了相关的电子元件和电路知识。同时,我们还发现了数字时钟制作过程中可能

eda数字钟实验报告

eda数字钟实验报告 一、实验目的与背景 数字钟是一种在现代社会中广泛应用的时间显示器,其具有精度高、易于观察、维修方便等特点。本次实验旨在通过使用EDA 软件,实现数字钟的制作,以便更好地了解数字时钟的原理及其设计过程。 二、实验器材与软件 器材:电脑、EDA软件、闹钟模块、LCD液晶显示器、电源线、按键开关、电阻等。 软件:Protues、Keil、Proteus VSM等。 三、设计过程 1. 硬件设计

(1)指示器:使用了LCD液晶显示屏来显示时间。其可显示 当前的小时、分钟、秒等信息。 (2)核心控制器:使用了AT89S52单片机作为核心,用来控 制整个数字时钟的运行。 (3)时钟电路:使用DS1302时钟芯片来实现时钟计时功能。该芯片具有高精准度、低功耗等特点,能够提供准确的时间信号。 (4)外设控制:使用了按键开关、蜂鸣器等外设来实现数字 时钟的启停、闹钟设置等功能。 2. 软件设计 (1)包含了时间可视化方案的设计。 (2)编写了大量的实时驱动程序,使计时、位置更新、操作 循环等功能得到实现。

(3)事件触发机制设计,使得按键响应、报时提示等功能得到实现。 (4)根据时钟电路信号进行时钟校准等相关处理。 四、实验结果 通过实验,我们成功地制作出了一个高精度、功能齐全、操作简便的数字时钟。该时钟可以准确地显示当前时间,同时根据设置还可以产生报时提示,启动或关闭闹钟等功能。 五、实验总结 通过本次实验,我们对数字时钟的原理和设计过程有了更加深入的理解,增加了对数字电路的整体认识。同时,我们还掌握了EDA软件的使用方法和调试技术。希望今后能够在数字电路设计和嵌入式系统开发中能够有更好的发挥。

数字钟实验报告_6

数字钟实验报告 题目: 六位数字钟实验 学院自动化与电气工程学院 专业:测控技术与仪器 班级: 123班 学号:********** 姓名:*** 日期: 2014.7.2

前言 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。 随着数字集成电路的出现和飞速发展,以及石英晶体振荡器的广泛应用,使得数字钟的精度稳定度远远超过了老式的机械表,用数字电路实现对“时”、“分”、“秒”数字显示的数字钟在数字显示方面,目前已有集成的计数、译码电路,它可以直接驱动数码显示器件,也可以直接采用才COMS--LED光电组合器件,构成模块式石英晶体数字钟。本设计主要是用中、小规模集成电路设计的一台能显示时、分、秒的数字电子钟。是由晶振电路产生1HZ标准信号,分、秒为00--59六十进制计数器,时为00--23二十四进制计数器,可手动校正,且具有整点报时功能。因此,研究数字钟及扩大其应用,有着非常现实的意义。 1数字钟的系统概述 数字电子钟是用数字电路实现“时”、“分”、“秒”数字显示的计时装置,主要由振荡器、分频器、计数器、译码显示器、校时电路等部分组成。而数字钟想准确的计时则是由振荡器产生的时脉冲送到分频器,分频电路将时标信号分成每秒一次的方波信号。秒脉冲发生器产生频率稳定很高的秒脉冲,秒脉冲被送到一个六十进制秒计数器计数,将计数结果送至秒个位和十位译码器,译码结果分别由两只七段数码管以十进制数形式显示来。当秒六十进制计数器累计到第59秒时,若再来一个秒脉冲,秒计数器的进位输出就产生进位脉冲(分计数脉冲),同时,秒计数器的十位和个位都复位到零。分计数脉冲又被送到分六十进制计数器计数,经译码电路译码后数码管显示相应的分数。当计满59分59秒时,若再来一个秒脉冲,则分计数器便向时计数器送出时计数脉冲,同时,分、秒计数器均复位到零。时计数器是一个二十四进制计数器,当计数显示23时59分59秒时,若再来一个秒脉冲,则时、分、秒计数器都应回到零,并显示(00:00:00)表示已到达午夜零点,第二天开始继续计数。其主要的功能模块如图2-1所示。

数字时钟实验报告

数字时钟实验报告 一、实验目的 1. 熟悉集成电路的引脚安排。 2. 掌握各芯片的逻辑功能及使用方法。 3. 了解实验台。 4. 了解数字钟的组成及工作原理。 5. 熟悉数字钟的设计与制作。 二、实验要求 时间以24小时为一个周期;显示时和分;有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;直接由信号发生器提供表针时间基准信号。 三、实验原理 1.数字钟的构成 数字钟实际上是一个对标准频率(1/60HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路。 2.时间计数器电路 时间计数电路由分个位和分十位计数器和时个位和时十位计数器电路构成,其中分个位和分十位计数器为60进制计数器,根据设计要求,时个位和时十位计数器为24进制计数器。 3.显示单元 此显示单元直接由做好的译码驱动电路和数码管组成。不需要另外设计。 4.校时电源电路 当重新接通电源或走时出现误差时都需要对时间进行校正。通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数,校正好后,再转入正常计时状态即可。 四、实验主要元器件简要说明 1.74LS00 四2输入与非门 管脚图:

2. 74LS160 同步4位十进制计数器 160的清除端是异步的。当清除端CLR为低电平时,不管时钟端CP状态如何,即可完成清除功能。 160的计数是同步的,靠CP同时加在四个触发器上而实现的。当ENP、ENT和LOAD 均为高电平时,在CP上升做作用下QA—QD同时变化,从而清除了异步计数器中出现计数尖峰。 160有超前进位功能。当计数溢出时,进位输出端(RCO)输出一个高电平脉冲,其宽度为Q0的高电平部分。 在不外加门电路的情况下,可级联成N位同步计数器。 管脚图: 3.基本RS触发器

多功能数字钟(EDA设计)实验报告

多功能数字钟 一、实验原理分析 通过晶振产生的50MHz的脉冲,用分频器进行分频产生1Hz 的脉冲信号,即作为时钟的1s的信号进行计数。 秒钟每计数60秒后产生进位使分钟显示加1,分钟满60循环至0。 为实现手动校准时刻功能,能够对分和秒计数器进行加减。 为实现校准时刻时候的闪烁,对数码管利用消隐,把数码管的接地端口接一个脉冲信号。 在实验进程中,要注意很多细节,比如进行按键消抖,手动调整时刻时可不能进位。 二、逻辑分析

三、功能模块分析 功能模块包括分频模块,时刻计数及校准模块,数码管译码显示模块、裁决模块和消抖模块 1.分频模块 该电路由多个70LS90通过度频将由晶振产生的50MHz分频为1Hz方波,供后续时钟电路利用。这一模块是整个电路的基础。 2.时刻计数及校准模块 该模块连接至分频模块的信号输出端,以分频模块产生的1Hz 方波作为基础。1Hz方波与秒同步,以秒为基础,别离实现电子钟中,分与时的运转,即1分钟=60秒,1小时=60分钟的循环运转。 为了修正电子钟在运行进程中产生的一些误差或其他以为错误,另设置校准功能,能够对电子钟的计时进行调整。其中,此模块的逻辑部份需Verilog语言实现并进行封装。此模块用到3个十进制计数器、2个六进制计数器和1个三进制计数器。 3.数码管译码显示模块 本电子钟采纳数码管来显示,能够简单、直观地表现出确切的时刻,实现其他配套功能。且数码管易于操作。此模块中有四个数码管,每两个数码管别离显示小时与分钟。由上一模块,即时刻计数及校准模块中的时刻计数器产生的数值,将其对应的七段码直接传送至相应的数码管译码显示。 4.裁决模块

数字钟实验报告

数字钟实验报告 数字钟电路设计1 实验报告 选课序号:22 22201*1283 电气一班王继越201*1229 大连海事大学实验报告 指导教师:王琳2 一、实验目的 1.通过数字中逻辑电路设计,掌握分频、计数、译码、显示等数字系统的设计方法; 2.熟悉N进制计数器的设计方法; 3.熟悉七段数码管结构原理及使用方法;译码驱动电路的原理及使用方法5学习中规模集成电路构成系统电路的方法二、实验内容及测试方法

1.数字钟的计时、译码及显示是用两个六十进制和一个二 十四进制计数器通过 译码器驱动和七段LED直接显示其数字,其逻辑框图如下: 十位译码个位译码十位译码个位译码十位译码个位译码24进 制计数器时校准60进制计数器分校准60进制计数器秒校准1KHZ 振荡器 功能要求: (1)时分秒基本实现 (2)整点报时功能 (3)时分秒的校准电路 (4)555实现1H时钟 (5)对1H进行三级十分频 三级十分频大连海事大学实验报告 2.NIMutiim仿真整体电路见附录现对每个模块功能作用、 电路及分析进行列举(注:因实验箱上已经将7448与共阴极

数码管连接好,且本人的设计电路未用到7448的功能管脚,故不再对译码部分进行列举和分析) VCC R2VCC1校时电路 5310Ω5V5047前级由两个与非门RS锁48存器当输入状态为11的时04149候,输出状态不变。利用这 51个原理可以消除抖动; VCCR3VCC手动校时信号和各级个 10Ω位时钟信号分别接中级的两5V个与非门悬空管脚; 后级与非门输出接时分秒的个位c进行电路仿真设计更加熟练以前都是用纸笔画好电路图来实验室连接调试再找问题,浪费了很多时间,这次实验,我花了很多时间进行电路的仿真,边仿真边设计,自己的每一个想法每一个细节都可以即时验证,节省了大量硬件调试的时间 (2)对一个系统的设计总体把握

数字电子时钟设计实验报告

数字电子时钟设计实验报告 一、设计指标 (1)数字电子时钟以一昼夜24小时为一个周期。即00时00分00秒至23时59分59秒。 (2)具有“时”、“分”、“秒”的数字显示。 二、设计总框图 三、电路设计原理 1、555脉冲产生电路设计 数字电子时钟具有标准的时间源,用它产生稳定的1Hz 脉冲信号,成为秒脉冲,因此采用555多谐振荡器来产生源脉冲。 设计要求:振荡频率为2000Hz ;占空比为50% 电路设计图如下:

GND 根据实验室提供的器件可得理想频率与占空比为: Hz C R R f o 19842 ln *)(1 221=+= %6022 1 2 1 =++= R R R R q 2、分频电路 该电路通过CD4518把555多谐振荡器产生的2000Hz 频率进行分频,最后得到稳定的秒脉冲信号。分频电路由四部分组成,一,二,三级为10分频,最后一级为2分频。 电路设计图如下: 3、计数电路 计数电路由CD4518与74SL00构成。在分频电路中得到的秒脉冲信号通过CD4518与74LS00组成的60进制计数器成为秒针,然后再通过一个60进制计数器成为分针,最后再通过一个24进制计数器成为时针。 电路设计图如下:

4显示电路 显示电路由74LS47与LED显示管构成。 电路设计图如下: 四、设计总电路图 见最后一页 五、设计过程中遇到的问题及解决办法 1、在设计电路时,对该电路所需芯片CD4518不了解。 通过书本和网络,我们了解到CD4518的功能 CD4518是一个双BCD同步加计数器,由两个相同的同步4级计数器组成。CD4518引脚功能(管脚功能)如下: 1CP、2CP:时钟输入端。 1CR、2CR:清除端。 1EN、2EN:计数允许控制端。 1Q0~1Q3:计数器输出端。 2Q0~2Q3:计数器输出端。 Vdd:正电源。Vss:地。

数字电子时钟实验报告

华大计科学院之宇文皓月创作 数字逻辑课程设计说明书 题目:多功能数字钟 专业:计算机科学与技术 班级:网络工程1班 姓名:刘群 学号: 1125111023 完成日期: 2013-9 一、设计题目与要求 设计题目:多功能数字钟 设计要求: 1.准确计时,以数字形式显示时、分、秒的时间。 2.小时的计时可以为“12翻1”或“23翻0”的形式。 3.可以进行时、分、秒时间的校正。 二、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对尺度频率�(1HZ)进行计数的计数电路。由于计数的起始时间不成能与尺度时间(如北京时间)一致,故需要在电路上加一个校时电路。图 1 所示为数字钟的一般构成框图。 图1 数字电子时钟方案框图 ⑴多谐振荡器电路

多谐振荡器电路给数字钟提供一个频率1Hz 的信号,可包管数字钟的走时准确及稳定。 ⑵时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成。其中秒个位和秒十位计数器、分个位和分十位计数器为60 进制计数器。而根据设计要求,时个位和时十位计数器为24 进制计数器。 ⑶译码驱动电路 译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态,而且为包管数码管正常工作提供足够的工作电流。 ⑷数码管 数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管。本设计提供的为LED数码管。 2.数字钟的工作原理 ⑴多谐振荡器电路 555 定时器与电阻R1、R2,电容C1、C2 构成一个多谐振荡器,利用电容的充放电来调节输出V0,发生矩形脉冲波作为时钟信号,因为是数字钟,所以应选择的电阻电容值使频率为1HZ。 ⑵时间计数单元 六片74LS90 芯片构成计数电路,按时间进制从右到左构成

数字钟电路设计与制作实验报告

数字钟电路设计与制作实验报告 一、实验目的: 1、综合应用数字电路知识; 2、学习使用protel进行电子电路的原理图设计、印制电路板设计 3、学习电路板制作、安装、调试技能。 二、实验任务及要求: 任务:设计一个12小时或24小时制的数字钟,显示时、分、秒,有校时功能,可以分别对时及分进行单独校时,使其校正到准确时间。可以根据兴趣增加其它与数字钟有关的功能。 要求:画出电路原理图,元器件及参数选择,PCB文件生成、制板及实物制作 三、实验原理及电路设计: 1、设计方案与模块框图 利用74LS161和74LS00 ,555,数码管,开关来设计24小时数字时钟,构造它们主要实现时钟的显示,以及对时、分、秒进行调整,即实现调时的功能。其数字钟系统整体结构 ①74LS161和74LS00计数器:用来设计24小时

②开关与74LS00结合:用来校时,校分,校秒。 ③利用555振荡器:产生脉冲信号 ④数码管:用来显示时分秒。

2、各子模块电路设计及原理说明 74LS161 :十六进制的计数器,当秒到60时要进位当分上利用74LS161与74LS00的结合,当秒、分到60时对其进行清零,进位。当时24时,对其进行清零。当时分秒个位到9时,对其本位(时分秒)清零和进位。 74LS00 与开关:74LS00与开关的结合,以此来控制校对。 555振荡器:利用555设计一个振荡器产生一个脉冲信号,以此来控制信号的进行与停止、时间的校对。 数码管:显示时分秒。 3、仿真图及仿真方法说明 连好图,按一下仿真键,

①若能仿真且准确无误,会出现24小时的显示则成功了。 ②若不能仿真,数码管不会显示出来示数,或者显示紊乱,则失败,检查电路是否正确,有没有连错,少连错连,不断地改正,不断改进,直到可以仿真,可以显示无错。 ③对校时、校分、校秒:按一下开关,脉冲过来就可以,增加一个数,依次按键对其进行时分秒校对。 四、主要实验元件及器材清单:

【精】数字时钟实验报告

一.指标要求: 1.显示时、分、秒。.采用24小时制。 2.具有校时功能,可以对小时和分单独校时,对分校时的时候,停止分向小 时进位。校时时钟源可以手动输入或借用电路中的时钟。 3.为了保证计时准确、稳定,由晶体振荡器提供标准时间的基准信号。二.设计计算: 1.总体方案设计:数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。用六片74LS248D和六片74LS161D芯片实现数字电子时钟的设计。 具体设计如下: 首先秒的设计,它为六十进制 , 即显示 00—59 秒,它的个位为十进制,十位为六进制。对于个位而言,当信号从0000—1001时采用反馈清0法进行清0,同时向十位产生一个进位。与此同时,当十位从0000—0101时,也采用反馈清零法清0,然后重新开始下一循环。分的设计同秒相同,通过级联(用与非门的输出结果控制分的时钟信号)实现秒向分的进位。小时的设计为二十四进制计数器 ,显示为 00—23, 个位仍为十进制,但当十进位计到 2,而个位计到4时清零,就为二十四进制了,也同样通过级联(同秒向分的进位)实现分向时的进位。整个过程通过而实现显示秒向分进位,分向时进位,从时、分、秒。

2.单元电路设计: 1、秒脉冲发生器 数字钟实际上是一个对标准频率(1HZ)迚行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。秒脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,本实验为了得到稳定的连续脉冲,我们选用了有极高的频率稳定性的石英晶体多谐振荡器。采用石英晶体多谐振荡器发出频率很大的脉冲。当今不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。

数字钟的设计实验报告

一、设计目的 1.熟悉集成电路的引脚安排。 2.掌握各芯片的逻辑功能。 3.了解面包板结构及其接线方法。 4.了解数字钟的组成及工作原理。 5.熟悉数字钟的设计与制作。 二、设计指标 1. 时间以24小时为一个周期。 2. 显示时、分、秒。 3. 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。 三、具体要求 1.画出电路原理图。 2.设计各个功能模块的电路图。 3.选择合适的元器件,设计、选择合适的输入信号和输出 方式,在确保电路正确的同时,输出信号和输入方式要便于电路的测试和故障排除,在线路板上接线验证、调试各个功能模块的电路。 4.对整个电路的元器件和布线进行合理布局,进行整个数

字时钟电路的接线测试。 四、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。 下图为数字钟的一般构成框图: (1)晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32 768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。 (2)分频器电路

分频器电路将32768Hz的高频方波信号经32768(2的15次方)次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。 (3)时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。(4)译码驱动电路 译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。 (5)数码管 数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计提供的为LED数码管。 2.数字钟的各个单元电路工作原理 (1)晶体振荡器电路

单片机实验报告数字时钟设计报告

单片机实验报告 题目:数字时钟的设计指导老师: 班级: 姓名: 学号:

一、实验目的 通过实践设计出能准确显示时、分、秒的时钟,并可以调时、定时,包括蜂鸣器的整点报时与闹钟功能。 二、实验要求 基本功能:准确计时,以数字形式显示时间,24时制;具备时、分调整和整点报时功能。 扩展功能:定时与闹钟功能 三、设计方案及论证 Ⅰ、硬件部分: 1、时钟显示 用七段LED数码管来实现,采用共阳管和 PNP驱动方式。PNP工作于开关状态,基极通过 1K的电阻连到单片机的P2口。为节省P口, 将六位数码管的8段段选端分别并接并加上 470Ω的限流电阻,由P0口控制,给低电平的 段会被点亮。因为六位管的段被并接只能通过 动态扫描的方式来显示,即利用管子的余晖和 人眼的视觉残留实现六位管子在“同一时间” 显示不同的值,而扫描这是靠位选的轮流有效 实现。如左图。

2、整点报时和闹钟铃 此部分用一个5V有源蜂鸣器来做,同样 用PNP作为开关来控制,此外为防止其可能的 方向电压尖峰在蜂鸣器两端反接一个IN4148 二极管来保护。如右图。 3、校时、定时 此部分由4个按键控制,如下图,key1和key3用来选定要调校的时、分的位,被选中的为将闪烁;key2用来是选中的为按相应的进制增1变化;key4是进入定时模式,定时的时数改变与调时方法相同。

4、单片机最小系统 本实验采用STC89C52RC单片机,指令系统完全与51兼容。其最小系统包含电源电路、晶振电路、复位电路。 本实验才用STC单片机的典型系统,各模块参数配置如图: 其中晶振频率为12MHz,震荡部分电容为30pF的瓷片电容。 复位电路采用图示的兼有上电复位和按键复位功能的方式,其中的电容为10uF电解电容。

(完整word版)Verilog数字钟设计实验报告

基于FPGA实现多功能数字钟 ——电子系 071180094 王丛屹 摘要 本文利用Verilog HDL语言自顶向下的设计方法设计多功能数字钟,并通过ISE完成综合、仿真.此程序通过下载到FPGA 芯片后,可应用于实际的数字钟显示中,实现了基本的计时显示和设置,调整时间,闹钟设置的功能. [关键词]FPGA;Verilog HDL;数字钟

一、多功能数字钟的设计 设计一个多功能数字时钟,具有时分、秒计数显示、闹钟功能。能够利用按键实现对闹钟时间的设定并在当前显示时间到时后能够进行闹钟提示.能够利用按键实现“较时”、“较分"功能,随时对数码管的显示进行校正和校对.数字中系统主要由系统时钟,三个功能按键(mode,turn ,change ),FPGA ,数码管和蜂鸣器部分组成。 图: 多功能数字钟总体设计模块 以下就各个模块说明其功能 1. 分频模块

由于FPGA内部提供的时钟信号频率大约为50MHz,在这需要将它转化成1Hz的标准时钟信号供数字钟的计时显示;在此我采用了级联分频法. RTL图如下: 代码如下:

always @(posedge clk_1) if ( cnt2 〈156/2—1)/////////////////////////////////////100分频,生成10000Hz信号begin cnt2 〈= cnt2 + 1; end else begin cnt2 〈= 0; clk_2 <= ~clk_2; end always @(posedge clk_2) if ( cnt5 〈10/2-1) /////////////////////////////////////////10分频,生成1kHz标准信号begin cnt5〈= cnt5 + 1; end else begin cnt5〈= 0; clk_1k〈= ~clk_1k; end always @(posedge clk_2) if ( cnt3 < 100/2—1)//////////////////////////////////////////100分频,生成100Hz信号begin

相关主题
文本预览
相关文档 最新文档