当前位置:文档之家› 低频信号发生器设计开题报告

低频信号发生器设计开题报告

低频信号发生器设计开题报告
低频信号发生器设计开题报告

1 研究的目的及其意义

随着电子测量及其他部门对各类信号发生器的广泛需求及电子技术的迅速发展,促使信号发生器种类增多,性能提高。尤其随着70年代微处理器的出现,更促使信号发生器向着自动化、智能化方向发展。现在,信号发生器带有微处理器,因而具备了自校、自检、自动故障诊断和自动波形形成和修正等功能,可以和控制计算机及其他测量仪器一起方便的构成自动测试系统。当前信号发生器总的趋势是向着宽频率覆盖、低功耗、高频率、精度、多功能、自动化和智能化方向发展。在科学研究、工程教育及生产实践中,如工业过程控制、教学实验、机械振动试验、动态分析、材料试验、生物医学等领域,常常需要用到低频信号发生器。而在我们日常生活中,以及一些科学研究中,锯齿波和正弦波、矩形波信号是常用的基本测试信号。譬如在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波产生器作为时基电路。信号发生器作为一种通用的电子仪器,在生产、科研、测控、通讯等领域都得到了广泛的应用。但市面上能看到的仪器在频率精度、带宽、波形种类及程控方面都已不能满足许多方面实际应用的需求。加之各类功能的半导体集成芯片的快速生产,都使我们研制一种低功耗、宽频带,能产生多种波形并具有程控等低频的信号发生器成为可能。

便携式和智能化越来越成为仪器的基本要求,对传统仪器的数字化,智能化,集成化也就明显得尤为重要。平时常用信号源产生正弦波,方波,三角波等常见波形作为待测系统的输入,测试系统的性能。单在某些场合,我们需要特殊波形对系统进行测试,这是传统的模拟信号发生器和数字信号发生器很难胜任的。利用单片机,设计合适的人机交互界面,使用户能够通过手动的设定,设置所需波形。该设计课题的研究和制作全面说明对低频信号发生系统要有一个全面的了解、对低频信号的发生原理要理解掌握,以及低频信号发生器工作流程:波形的设定,D/A 转换,显示和各模块的连接通信等各个部分要熟练联接调试,能够正确的了解常规芯片的使用方法、掌握简单信号发生器应用系统软硬件的设计方法,进一步锻炼了我们在信号处理方面的实际工作能力。

2 国内外研究现状

在 70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信

号波形,则电路结构非常复杂。同时,主要表现为两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。在 70 年代后,微处理器的出现,可以利用处理器、A/D/和 D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。这时期的波形发生器多以软件为主,实质是采用微处理器对 DAC 的程序控制,就可以得到各种简单的波形。 90 年代末,出现几种真正高性能、高价格的函数发生器、但是 HP 公司推出了型号为 HP770S 的信号模拟装置系统,它由 HP8770A 任意波形数字化和HP1776A 波形发生软件组成。HP8770A 实际上也只能产生 8 种波形,而且价格昂贵。不久以后,Analogic 公司推出了型号为 Data-2020 的多波形合成器,Lecroy 公司生产的型号为 9100 的任意波形发生器等。

二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过 GHz的 DDS 芯片,同时也推动了函数波形发生器的发展,2003 年,Agilent 的产品 33220A 能够产生 17 种波形,最高频率可达到 20M,2005 年的产品 N6030A能够产生高达 500MHz .

3 主要内容要求和目标

3.1 内容:

根据设计目标通过调研、查阅相关资料确定以单片机和D/A转换器为核心的低频信号发生器方案。主要包括:

1)电源电路设计;

2)键盘电路设计;

3)D/A数模转换电路设计;

4)信号放大及低通滤波电路设计;

5)显示电路设计;

5)应用软件(主程序及用于改变频率和信号类型的中断服务程序)设计等。

3.2 要求:

1)系统能产生3种以上的信号;

2)信号频率在一定范围内可调且应具有较高的精度;

3)能显示信号波形的类型和频率;

4)原理电路图应采用工程设计工具软件绘制;

5) 详细的软件系统框架、流程及源代码。

3.3 目标:

设计出一款以单片机和D/A数模转换电路为核心的低频信号发生器。信号类型不低于3种。系统能显示信号类型及频率。通过该设计及安装调试,达到掌握小型电子系统设计方法和常用设计软件、调试仪器使用的目的。

4 设计方案

4.1 设计模块

本次设计所研究的就是对所需要的某种波形输出对应的数字信号,在通过D/A 转换器和单片机部分的转换输出一组连续变化的0~5V 的电压脉冲值。在设计时分块来做,按波形设定、D/A 转换、52单片机连接、键盘控制四个模块的设计。最后通过联调仿真,完成相应功能。

图1 设计模块图

4.2 硬件电路设计

据低频信号发生器系统主要由CPU 、D/A 转换电路、电流/电压转换电路、按键和显示电路、电源等电路组成。其工作原理为当按下第一个按键就会分别出现方波、三角波、正弦波。

图2 硬件电路基本图

4.3 软件部分

本系统的软件包括以下几个程序模块:初始化程序;键盘扫描程序与处理程序;定时器0服务程序;正弦波发生程序及其服务程序;三角波发生程序;方波发生程序。

图3 程序流程图

5 毕业设计(论文)进度安排

查找并阅读相关资料,完成开题报告、外文翻译 ——2012.12.15 完成系统原理、软件流程设计,完成中期检查报告 12.12.15——2013.3.30 完成系统组装、调试 2013.4.1——2013.4.30 撰写毕业设计 2013.5.1——2013.5.14 论文修改、评阅、答辩 2013.5.15——2013.5.30

6 参考资料

[1] 求是科技.单片机典型模块设计实例导航[M] (第二版).北京:人民邮电出版社, 2008,7 [2] 范风强.兰婵丽.单片机语言C51应用实战集锦[M].北京:电子工业出版社,2003,3 [3] 黄智伟.全国大学生电子设计竞赛训练教程[M].北京:电子工业出版社,2005,1 [4] 周立功.单片机实验与实践[M].北京:北京航空出版社,2004,8 [5] 黄继昌.电子元器件应用手册[M].北京:人民邮电出版社,2004,7 [6] 张宪,何宇斌.电子电路制作指导[M].北京:化学工业出版社,2005,8

[7] Raj Kamal. Embedded Systems: Architecture, Programming and Design[M].

McGraw-Hill.2003

[8] 新概念51单片机C语音教程—入门、提高、开发、拓展全攻略[M]. 北京:电子工业出

版社,2009

[9] 潭博学,苗江静.集成电路原理及应用[M].北京:电子工业出版社,2003.9

[10] 谢自美.电子线路设计.实验.测试(第三版)[M].武汉:华中科技大学出版社,2000

年7月

[11] Tierney. J Rader. C.M. and Gold. B. "A Digital Frequency Synthesizer." IEEE

Transactions on Audio and Electroacoustics AU-19:1, March 1971

多功能信号发生器设计报告.doc

重庆大学城市科技学院电气学院EDA课程设计报告 题目:多功能信号发生器 专业:电子信息工程 班级:2006级03班 小组:第12组 学号及姓名:20060075蒋春 20060071冯志磊 20060070冯浩真 指导教师:戴琦琦 设计日期:2009-6-19

多功能信号发生器设计报告 一、设计题目 运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。 二、课题分析 (1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。 (2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。 (3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。同时为了方便观察,应再引出个未经调幅的信号作为对比。 三、设计的具体实现 1、系统概述 系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。 2、单元电路设计与分析 外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。 (1)分频器(DVF) 分频器(DVF)的RTL截图

低频信号发生器设计开题报告

1 研究的目的及其意义 随着电子测量及其他部门对各类信号发生器的广泛需求及电子技术的迅速发展,促使信号发生器种类增多,性能提高。尤其随着70年代微处理器的出现,更促使信号发生器向着自动化、智能化方向发展。现在,信号发生器带有微处理器,因而具备了自校、自检、自动故障诊断和自动波形形成和修正等功能,可以和控制计算机及其他测量仪器一起方便的构成自动测试系统。当前信号发生器总的趋势是向着宽频率覆盖、低功耗、高频率、精度、多功能、自动化和智能化方向发展。在科学研究、工程教育及生产实践中,如工业过程控制、教学实验、机械振动试验、动态分析、材料试验、生物医学等领域,常常需要用到低频信号发生器。而在我们日常生活中,以及一些科学研究中,锯齿波和正弦波、矩形波信号是常用的基本测试信号。譬如在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波产生器作为时基电路。信号发生器作为一种通用的电子仪器,在生产、科研、测控、通讯等领域都得到了广泛的应用。但市面上能看到的仪器在频率精度、带宽、波形种类及程控方面都已不能满足许多方面实际应用的需求。加之各类功能的半导体集成芯片的快速生产,都使我们研制一种低功耗、宽频带,能产生多种波形并具有程控等低频的信号发生器成为可能。 便携式和智能化越来越成为仪器的基本要求,对传统仪器的数字化,智能化,集成化也就明显得尤为重要。平时常用信号源产生正弦波,方波,三角波等常见波形作为待测系统的输入,测试系统的性能。单在某些场合,我们需要特殊波形对系统进行测试,这是传统的模拟信号发生器和数字信号发生器很难胜任的。利用单片机,设计合适的人机交互界面,使用户能够通过手动的设定,设置所需波形。该设计课题的研究和制作全面说明对低频信号发生系统要有一个全面的了解、对低频信号的发生原理要理解掌握,以及低频信号发生器工作流程:波形的设定,D/A 转换,显示和各模块的连接通信等各个部分要熟练联接调试,能够正确的了解常规芯片的使用方法、掌握简单信号发生器应用系统软硬件的设计方法,进一步锻炼了我们在信号处理方面的实际工作能力。 2 国内外研究现状 在 70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信

简易信号发生器设计制作

简易信号发生器设计制作 一、训练目的 (1)掌握正弦波、三角波、矩形波和方波发生电路的工作原理; (2)学会正弦波、三角波、矩形波和方波发生电路的设计方法; (3)进一步熟悉电子线路的安装、调试、测试方法。 二、工作原理 正弦波、三角板、矩形波是电子电路中常用的测试信号,如测试放大器的增益、通频带等均要用到正弦信号作为测试信号。下面分别介绍产生这三种信号电路结构和工作原理。 1.正弦信号发生器 正弦信号的产生电路形式比较多,频率较低时常用文氏电桥振荡器,图7-1为实用文氏电桥振荡电路。图中R 1、R 2、R 3、RW 2构成负反馈支路,二极管D 1、D 2构成稳幅电路,C 2、R 11(或R 12或R 13)、C 1、R 21(或R 22或R 23)串并联电路构成正反馈支路,并兼作选频网络。调节电位器RW 2可以改变负反馈的深度,以满足振荡的振幅条件和改善波形。二极管D 1、D 2要求温度稳定性好,特性匹配以确保输出信号正负半周对称,R 4接入用以消除二极管的非线性影响,改善波形失真。如K1接电阻R 11、K2接R 21,并且R 11= R 21=R ,C 1= C 2=C ,则电路的振荡频率为: 1 2f RC π= (7-1) 起振的幅值条件: 1 1f v R A R =+ (7-2) 图7-1 正弦信号发生器 通过调整RW 2可以改变电路放大倍数,能使电路起振并且失真最小。该电路可通过开关K1、K2选择不同的电阻以得到不同频率的信号输出。 2.方波和矩形波发生器

方波发生电路如图7-2,其基本原理是在滞回比较器的基础上增加了由R 4和C 1构成的积分电路,输出电压通过该积分电路送人到比较器的反相输入端。其中R 3 、D Z1和D Z2构成双向限幅电路,这样就构成了方波发生器电路,其工作原理如下: 假设在接通电源瞬间,输出电压o v 为Z V +(稳压二极管D Z1、D Z2额定工作时的稳压值),这时比较器同相端的输入电压为 2 12 Z R v V R R +≈ + (7-3) 同时输出电压o v 会通过电阻R 4给C 1充电,反相端的输入电压v -就会逐步升高,当反向输入端的电压v -略大于同相端输入电压v +时,比较器输出电压立即从Z V +翻转为Z V -,这时输出端电压o v 为Z V -,比较器同相端输入电压v +'为 2 12 Z R v V R R +'≈- + (7-4) 这时输出的电压o v 会通过R 4对C 1进行反向充电,当反相输入端的电压略低于v +'时,输出状态再翻转回来,如此反复形成方波信号。所产生方波信号的频率为 41 1 2f R C = 方波 (7-5) R 4 o 图7-2 方波发生电路

开题报告(简易多功能信号发生器)

枣庄学院 本科生毕业设计(论文) 开题报告 (20**届) 简易多功能信号发生器的电路设计 姓名:*** 学号:20080613**** 专业:过程装备与控制工程 班级:2008级本科(*)班 学院:机电工程学院 指导老师:** 20**年2月25日

一、研究的目的与意义 信号发生器作为科学实验必不可少的装置,被广泛地应用到教学、科研等各个领域。高等学校特别是理工科的教学、科研需要大量的仪器设备,例如信号源、示波器等,常用仪器都必须配置多套,但是有些仪器设备价格昂贵,如果按照传统模式新建或者改造实验室投资巨大,造成许多学校仪器设备缺乏或过时陈旧,严重影响教学科研。如果运用虚拟仪器技术构建系统,代替常规仪器、仪表,不但可以满足实验教学的需要、节约大量的经费、降低实验室建设的成本,而且能够提高教学科研的质量与效率。目前我国经济开始进入一个新的发展时期,经济的快速发展将加快企业的技术改造步伐,各行业特别是电子、通信行业对先进任意波发生器的需求更加强劲。高档台式仪器加工工艺复杂,对制造水平要求高,生产突破有困难,而采用虚拟技术后,就可通过只采购适合自己应用情况的通用仪器硬件,依靠虚拟仪器软件开发平台,设计出所需的高性能价格比的仪器系统。虚拟仪器是在以通用计算机为核心的硬件平台上,由用户自己设计定义,具有虚拟面板,测试功能由测试软件实现的一种计算机仪器系统。虚拟仪器是以计算机为基础,配以相应测试功能的硬件作为信号输入输出的接口,利用虚拟仪器软件开发平台在计算机的屏幕上虚拟出仪器的面板,人们通过鼠标或键盘操作虚拟仪器面板上的旋钮、开关和按键,去选用仪器功能,设置各种工作参数,启动或停止一台仪器的工作。它能够实现普通仪器的全部功能,如示波器、逻辑分析仪、信号发生器、频谱分析仪等,也能够实现一些在普通仪器上无法实现的功能。这种方式不但让用户享用到通用 PC 机不断升级的性能,还可体会到完全自定义的测量系统功能的灵活性,最终构建起满足特定需求的系统。虚拟仪器是测试技术与计算机深层次结合的产物,其实质是利用最新的计算机技术来实现和扩展传统仪器的功能。虚拟仪器应用软件是整个系统的关键。因此,从某种意义上可以说:软件就是仪器。与传统的仪器相比,虚拟仪器更通用、更灵活、更经济,而且更能适应当代科学技术对测量仪器不断提出的更新、扩展功能和性能的要求。 二、国内外的研究状况 波形发生器亦称函数发生器,作为实验用信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。目前,市场上常见的波形发生器多为纯硬件的搭接而成,且波形种类有限,多为锯齿、正弦、方波、三角等波形。信号发生器作为一种常见的应用电子仪器设备,传统的可以完全由硬件电路搭接而成,如采用 555 振荡电路发生正弦波、三角波和方波的电路便是可取的路径之一,不用依靠单片机。但是这种电路存在波形质量差,控制难,可调范围小,电路复杂和体积大等缺点。在科学研究和生产实践中,如工业过程控制,生物医学,地震模拟机械振动等领域常常要用到低频信号源。而由硬件电路构成的低频信号其性能难以令人满意,而且由于低频信号源所需的 RC 很大;大电阻,大电容在制作上有困难,参数的精度亦难以保证;体积大,漏电,损耗显著更是其致命的弱点。一旦工作需求功能有增加,则电路复杂程度会大大增加。 波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。函数波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。 在 70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,

单片机课程设计信号发生器

单片机课程设计实验报告 电子信息工程学院 指导教师:***

08年6月30日 单片机课程设计实验报告 一.系统总体介绍 1)题目意义: 这次课程设计的题目我选择的是信号发生器,我之所以选择这个题目的原因有三个 ①它是一个DA转换的实验,在前不久的市电子大赛中,我们做的是AD的转换,所 以想将模数/数模都熟悉一遍,为今后可能遇到的接口实验打下坚实的基础; ②另外一个原因是用到的芯片是MAX518,该芯片是串行数模转换,运用I2C总线, 通过这个实验可以更好的运用串行通信,同时能够学习I2C总线的协议,掌握了一 门新的总线,我觉得比其他实验收获更大; ③MAX518的时序比较复杂,通过练习针对时序的编程可以更好的提高自己读PDF 资料的能力和编程的能力。 2)本人所做的工作 这个实验从始至终都是自己完成的。 ①程序的编写,程序的编写是我结合MAX518的时序图编写出来的,编程的重点在于 对与MAX518的编程,在编程的过程中对于应答信号的理解和处理是整个程序的核心,在单步调试中能够很明显的观测到SDA和SCL信号线上电平的变化; ②四种波形的表格数据的建立。表格的建立是通过MATLAB函数产生的。其函数分别 为:正弦波y=round(127*sin(0:2*pi/256:2*pi))+127 锯齿波y=round(0:1:255) 三角波y=round(0:2:255) Y=round(255:2:0) 方波直接是0和255 由于MATLAB产生的数据之间含有回车和空格,不符合汇编语言的语法规则,所以要用WORD对所得的数据进行处理,利用WORD的查找替换同能讲回车和空格替换为英文的逗号,其中回车的表示方法为^p ③学习KEIL和SSTFlashFlex51.exe的使用 ④元器件的购买和焊接 ⑤实验报告的完成 3)系统的主要功能 该系统能够产生正弦波,锯齿波,三角波和方波四种波形,同时能够产生16HZ,12HZ,10HZ,8HZ四种频率,也就是可以产生4*4=16种信号,通过8个按键

函数信号发生器课程设计报告书

信号发生器 一、设计目的 1.进一步掌握模拟电子技术的理论知识,培养工程设计能力 和综合分析问题、解决问题的能力。 2.基本掌握常用电子电路的一般设计方法,提高电子电路的 设计和实验能力。 3.学会运用Multisim10仿真软件对所作出的理论设计进行 仿真测试,并能进一步完善设计。 4.掌握常用元器件的识别和测试,熟悉常用仪表,了解电路 调试的基本方法。 二、设计容与要求 1.设计、组装、调试函数信号发生器 2.输出波形:正弦波、三角波、方波 3.频率围:10Hz-10KHz围可调 4.输出电压:方波V PP<20V, 三角波V PP=6V, 正弦波V PP>1V 三、设计方案仿真结果 1.正弦波—矩形波—三角波电路 原理图:

首先产生正弦波,再由过零比较器产生方波,最后由积分电路产生三角波。正弦波通过RC串并联振荡电路(文氏桥振荡电路)产生,利用集成运放工作在非线性区的特点,由最简单的过零比较器将正弦波转换为方波,然后将方波经过积分运算变换成三角波。 正弦—矩形波—三角波产生电路: 总电路中,R5用来使电路起振;R1和R7用来调节振荡的频率,R6、R9、R8分别用来调节正弦波、方波、三角波的幅值。左边第一个运放与RC串并联电路产生正弦波,中间部分为过零比较器,用来输出方波,最好一个运放与电容组成积分电路,用来输出三角波。

仿真波形: 调频和调幅原理 调频原理:根据RC 振荡电路的频率计算公式 RC f o π21 = 可知,只需改变R 或C 的值即可,本方案中采用两个可变电阻R1和R7同时调节来改变频率。 调幅原理:本方案选用了最简单有效的电阻分压的方式调幅,在输出端通过电阻接地,输出信号的幅值取决于电阻分得的电压多少。其最大幅值为电路的输出电压峰值,最小值为0。 RC 串并联网络的频率特性可以表示为 ) 1(311112 1 2 RC RC j RC j R C j R RC j R f Z Z Z U U F ωωωωω-+=++++=+= = ? ? ? 令,1 RC o =ω则上式可简化为) ( 31 ω ωωωO O j F -+ = ? ,以上频率特性可 分别用幅频特性和相频特性的表达式表示如下:

(完整版)数字信号发生器的电路设计_(毕业课程设计)

1 引言 信号发生器又称信号源或者振荡器,它是根据用户对其波形的命令来产生信号的电子仪器,在生产实践和科技领域有着广泛的应用。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其他仪表测量感兴趣的参数。信号发生器在通信、广播、电视系统,在工业、农业、生物医学领域内,在实验室和设备检测中具有十分广泛的用途。 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。到70年代处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。随着现代电子、计算机和信号处理等技术的发展,极大地促进了数字化技术在电子测量仪器中的应用,使原有的模拟信号处理逐步被数字信号处理所代替,从而扩充了仪器信号的处理能力,提高了信号测量的准确度、精度和变换速度,克服了模拟信号处理的诸多缺点,数字信号发生器随之发展起来。

信号发生器作为电子领域不可缺少的测量工具,它必然将向更高性能,更高精确度,更高智能化方向发展,就象现在在数字化信号发生器的崛起一样。但作为一种仪器,我们必然要考虑其所用领域,也就是说要因地制宜,综合考虑性价比,用低成本制作的集成芯片信号发生器短期内还不会被完全取代,还会比较广泛的用于理论实验以及精确度要求不是太高的实验。因此完整的函数信号发生器的设计具有非常重要的实践意义和广阔的应用前景。 2 数字信号发生器的系统总述 2.1 系统简介 信号发生器广泛应用于电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域。 本设计以AT89C52[1]单片机为核心设计了一个低频函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统主要包括CPU模块、显示模块、键盘输入模块、数模转换模块、波形输出模块。系统电路原理图见附录A,PCB (印制电路板)图见附录B。其中CPU模块负责控制信号的产生、变化及频率的改变;模数转换模块采用DAC0832实现不同波形的输出;显示模块采用1602液晶显示,实现波型和频率显示;键盘输入模块实

基于FPGA的函数信号发生器—开题报告.docx

基于FPGA的函数信号发生器 一、课题来源、目的、意义 函数信号发生器是广泛应用于系统检测调试、自动测昴控制和教学实验等领域的多波形信号源,它可以产生正弦波、三角波、锯齿波、方波等多种波形,山于其输出的波形均可用数学函数描述,故命名为函数信号发生器。函数信号发生器在工业生产、产晶开发、科学硏究等实验测试中起着十分重要的作用,除供通信、仪表和自动控制系统测试用外,还广泛用于生物医学等各个领域的测试随着电子技术的不断发展与进步,现代的电子测量、通信系统越来越需耍有高精度和灵活的信号发生器进行测量和调试。原有的信号发生器的性能C经难以满足现在的耍求,现在不仅要求能产生标准的波形,I何口要求函数发d器的输出波形质量好,输出频率范围宽,频率转换速度快并U频率转换吋波形的相位需要连续。为了适应现代电子技术的发展和市场要求, 研究制作高性能的函数信号发生器则具有重大的意义。 虽然现在各大芯片制造商都推出了采用先进CMOS工艺生产的高性能专用直接数字频率合成(DDS)芯片,为电路设计者提供了多种选择,但专用的DDS芯片的局限性在于其价格昂贵, 不易扩展。目前,大规模可编程逻辑器件(PLD)得到越来越广泛的应用,其强大的功能也逐步从各种器件中显露出來。如今的可编程器件在其白身功能愈加强大的同时,更使系统趋于小型化,高集成度和高可靠性。与此同时,器件所具有的静态可重复编程和动态在系统重构的特性,使得系统设计周期大大缩短,降低了设计费用和设计风险,极大的提高了电子系统设计的灵活性和通用性。其中现场可编程门阵列(FPGA)编程灵活!应用范围广,而口逻辑功能较复杂的小型系统可以在一?片FPGA屮实现。山于FPGA 实现DDS技术在一些方面存在着DDS芯片不能取代的优势,并H可以实现多个DDS芯片的功能,除了能满足用户对特殊功能的要求外,还可以在器件选择上有更大的选择余地,所以本文提出基于FPGA实现采用直接数字频率合成技术实现可编程函数信号发生器的实现方案,并给出了详细的设计方法。 本课题的意义在于将FPGA可编程的特性与直接数字频率合成(DDS)技术精确和快速的特性有机地结合起來,既实现了函数信号发生器的灵活配置,减小体积,有效地降低开发的成本,又町以实现函数信号发生器的输出频率、相位和幅度在数字处理器的控制下精确而快速地变换。在我国,高精度的标准信号源产品较少并口产品落后,可靠性较差,并口研究起步较晚,与国外发达国家比较水平差距比较大,所以现在研究基于直接数字频率合成技术与FPGA 相结合的函数发生器并II研制出相关的产品将对我国国防、科研、教育起到深远的意义° 二、国内外基本研究情况 函数信号发生器按工作原理可分为:调谐信号发生器、锁相信号发生器和合成信号发生器。 早期的调谐信号发生器采用的是模拟电子技术,山调谐振荡器和调幅放大器加上一?些指示电路构成,仅能产生止弦波、三角波、方波等儿种简甲的波形,其电路结构复杂、尺寸大且功耗大,并且频率不高,曲于模拟电路温漂大血使得其波形稳定性差,且难以产生精准的频率信号,不易调试。 锁相涪号发生器是山调谐振荡器通过锁相的方法获得输出信号频率的信号发生器。这种信号发

函数信号发生器设计报告

函数信号发生器设计报告 一、 设计要求 设计制作能产生正弦波、方波、三角波等多种波形信号输出的波形发生器,具体要求: (1) 输出波形工作频率范围为2HZ ~200KHZ ,且连续可调; (2) 输出频率分五档:低频档:2HZ ~20HZ ;中低频档:20HZ ~200HZ ; 中频档:200HZ ~2KHZ ;中高频档:2KHZ ~20KHZ ;高频档:20KHZ ~200KHZ 。 (3) 输出带LED 指示。 二、 设计的作用、目的 1. 掌握函数信号发生器工作原理。 2. 熟悉集成运放的使用。 3. 熟悉Multisim 软件。 三、 设计的具体实现 3.1函数发生器总方案 采用分立元件,设计出能够产生正弦波、方波、三角波信号的各个单元电路,利用Multisim 仿真软件模拟,调试各个参数,完成单元电路的调试后连接起来,在正弦波产生电路中加入开关控制,选择不同档位的元件,达到输出频率可调的目的。 总原理图:

3.2单元电路设计、仿真 Ⅰ、RC桥式正弦波振荡电路 图1:正弦波发生电路 正弦波振荡器是在只有直流供电、不加外加输入信号的条件下产生正弦波信号的电路。 正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路、反馈网络、选频网络、稳幅电路四个部分。根据选频电路回路的不同,正弦波振荡器可分为RC正弦波振荡器、LC正弦波振荡器和石英晶体振荡器。其中,RC正弦波振荡器主要用于产生中低频正弦波,振荡频率一般小于1MHz,满足本次设计要求,故选用RC 正弦波振荡器。

低频信号发生器设计报告

低频信号发生器设计报告 一.设计要求 (一)设计题目要求 1.分析电路的功能并设计电路的单元电路 2.查找图中相应元件的参数,找出国外对应元件的型号 3.用EWB或Multisim软件进行电路仿真,打印仿真原理图和仿真结果 4.用A3图纸绘出系统电路原理图 (二)其他要求 1.必须独立完成设计课题 2.合理选用元器件 3.要求有目录、参考资料、结语 4.论文页数不少于20页 二.设计的作用、目的 (一)设计的作用 低频信号发生器是电子测量中不可缺少的设备之一。完成一个低频信号发生器的设计,可以达到对模拟电路知识较全面的运用和掌握。 (二)设计的目的 电子电路设计及制作课程设计是电子技术基础课程的实践性教学环节,通过该教学环节,要求达到以下目的: 1.进一步掌握模拟电子技术的理论知识,培养工程设计能力和综合分析问题、解决问题的能力; 2.基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力;3.熟悉并学会选用电子元器件,为以后从事生产和科研工作打下一定的基础。

三.设计的具体实现 (一)系统概述 根据课题任务,所要设计的低频信号发生器由三大部分组成: ⑴正弦信号发生部分 ⑵信号输出部分 ⑶稳幅部分 其中由正弦信号发生部分的电路产生所需要的正弦信号,由输出电路将信号放大后进行输出,再由稳幅电路部分从输出的信号采样反馈回信号发生部分进行稳幅。 1.正弦信号发生部分可以有以下实现方案: ⑴以晶体管(晶体管(transistor)是一种固体半导体器件,可以用于检波、整流、放大、开关、稳压、信号调制和许多其它功能。开关速度可以非常快) 为核心元件,加RC(文氏桥或移相式)或变压器反LC(馈式、电感三点式、电容三点式、晶振等)选频网络以及稳幅电路等构成的分立元件正弦波振荡电路。这种电路的优点是简单、廉价,但由于采用分立元件,稳定性较差,元件较多时调节也较麻烦。

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

基于单片机的低频信号发生器设计

龙源期刊网 https://www.doczj.com/doc/17129589.html, 基于单片机的低频信号发生器设计 作者:任小青王晓娟田芳 来源:《现代电子技术》2014年第16期 摘要:主要介绍以AT89C51单片机为核心部件的低频信号发生器的设计方法及工作原理。系统采用单片机扩展外部存储器和DAC接口技术,简化了仪器硬件设计。通过波形选择电路读取波形信号经离散化处理之后的波代码,并通过D/ A 转换,还原成所需要的波形。通过改变存储器输出波代码的速度来调节输出信号的频率,改变放大器的放大倍数来调节输出信号的幅值。此外还讨论了波形离散化处理方法及数据采样点数与存储容量的关系,并给出了 系统结构图和软件框图。 关键词:低频信号;数据离散化;幅值;典型信号 中图分类号: TN710?34 文献标识码: A 文章编号: 1004?373X(2014)16?0014?04 Design on low?frequency signal generator based on SCM REN Xiao?qing1, WANG Xiao?juan1, TAN Fang2 (1. School of Mechanical Engineering, Qinghai University, Xining 810016, China; 2. Modern Education Technology Center, Qinghai University, Xining 810016, China) Abstract: The design approach and working principle of a low?frequency signal generator based on AT89C51 are introduced. The hardware design was simplified by using external memory extended with SCM and DAC interface technology. The wave code after discretization processing of waveform signal is read out though a waveform selection circuit, and reverted to the needed waveform by the D/A converter. The output signal frequency is adjusted by changing the wave code output speed of the memory. The amplitude is adjusted by changing the magnification of the amplifier. The waveform discretization processing method, and the relation between data sampling number and storage capacity are discussed. The system structure chart and software flow chart are given. Keywords: low?frequency signal; data discretization; amplitude; typical signal 0 引言 在工业测量控制系统的开发过程中,常需要采用信号发生器为控制系统提供输入信号来 模拟实际输入,并根据输出的频率响应特性来对系统进行调校。该系统不但能提供多种波形信号,而且信号的频率和幅值的大小也很容易控制。用它来模拟多种工况下的真实输入信号, 以达到降低开发成本、提高项目开发效率的目的。本文介绍了以AT89C51单片机为控制核心

基于运放的信号发生器设计

北京工业大学课程设计报告 模电课设题目基于运放的信号发生器设计 班级:1302421 学号:13024219 姓名:吕迪 组号:7 2015年 6月

一、设计题目 基于运放的信号发生器设计 二、设计任务及设计要求 (一)设计任务 本课题要求使用集成运算放大器制作正弦波发生器,在没有外加输入信号的情况下,依靠电路自激震荡而产生正弦波输出的电路。经过波形变换可以产生同频三角波、方波信号。(二)设计要求 基本要求:使用LM324,采用经典振荡电路,产生正弦信号,频率范围,360Hz~100kHz。输出信号幅度可调,使用单电源供电以及增加功率。 (三)扩展要求 (1)扩大信号频率的范围; (2)增加输出功率 (3)具有输出频率的显示功能。 三、设计方案 (一)设计框图 (二)设计方案选择思路 我们在模电课上学过几种正弦波振荡器的基本电路,包括RC串并联正弦波振荡器、电容三点式正弦波振荡器以及电感三点式正弦波振荡器。因为题目要求设计基于运放的正弦波发生器,我们就确定将RC串并联网络正弦波振荡器作为我们设计的基础电路,因为此振荡器适用于频率在1MHz一下的低频正弦波振荡器而且频率调节方便,我们打算先通过计算搭建RC 正弦波振荡电路,测试基本电路达到的频率及幅值范围,再在这一基础上进行放大,使频率及幅值与设计要求相符合,因此设计出了二级反向放大这一模块。最后,为了提高电路的输出功率,减小电路的输出阻抗,再设计电压跟随器这一模块来完善整个电路。由此,我们确定出三个模块:RC正弦波振荡电路,二级反向放大电路,电压跟随器,并准备从基础模块入手,分模块实现,并根据实际情况不断调整改进原先的设计方案。 (三)元器件清单 芯片:LM324*2 40106*1 二极管:1N4148*2 电容:10μF*1、10nf *4 电阻:2k*1 、10k*4、51k*1 、82k*1 、91k*1 、100k滑动变阻器*1、220k*1 电位器:50k双联*1、10k*2、50k*1 (四)芯片资料

(完整word版)基于单片机的信号发生器开题报告

内蒙古工业大学本科生毕业设计(论文)开题报告

注:表格根据所填内容可进行调整,可多页。 一、设计总体方案 利用AT89S52 单片机采用程序设计方法产生锯齿波,正弦波,矩形波,方波四种波形,再通过D/A 转换器DAC0832将数字信号转换成模拟信号,滤波放大,最终由示波器显示出来,通过键盘来控四种波形的类型,频率变化,最终输出显示其各自的类型及数值

图4.1 硬件原理框图 二.硬件各单元电路方案设计与选择 1、单片机的选择 方案一:AT89S52芯片中只有一路模拟输出或几路模拟信号非同步输出,这种情况下CPU对DAC0832 执行一次写操作,则把一个数据直接写入DAC寄存器,DAC0832的输出模拟信号随之对应变化。输出波形稳定,精度高,滤波好,抗干扰效果好,连接简单,性价比高。 方案二:C8051F005单片机是完全集成的混合信号系统级芯片,具有与8051兼容的微控制器内核,与MCS-51指令集完全兼容。除了具有标准8052的数字外设部件,片内还集成了数据采集和控制系统中常用的模拟部件和其他数字外设及功能部件,而且执行速度快。但其价格较贵 方案三:采用单片机编程的方法来实现。该方法可以通过编程的方法来控制信号波形的频率和幅度,而且在硬件电路不变的情况下,通过改变程序来实现频率的变换。此外,由于通过编程方法产生的是数字信号,所以信号的精度可以做的很高。 以上两种方案综合考虑,选择方案一 2.键盘设计方案比较 方案一:矩阵式键盘。矩阵式键盘的按键触点接于由行、列母线构成的矩阵电路的交叉处。当键盘上没有键闭合时,所有的行和列线都断开,行线都呈高电平。当某一个键闭合时,该键所对应的行线和列线被短路。 方案二:独立式键盘。独立式键盘具有硬件与软件相对简单的特点,其缺点是按键数量较多时,要占用大量口线。 以上两种方案综合考虑,选择方案二。 3、D/A转换部分

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

低频正弦信号发生器 (1) (1)

《电子技术》课程设计报告 题目低频正弦信号发生器 学院(部)电子与控制工程学院 专业建筑电气与智能化 班级2013320602 学生姓名吴会从 学号201332060225 6 月29 日至 7 月10 日共2 周 指导教师(签字)

前言 正弦交流信号是一种应用极为广泛的信号,它通常作为标准信号,用于电子电路的性能试验或参数测量。另外,在许多测试仪中也需要用标准的正弦信号检测一些物理量,正弦信号用作标准信号时,要求正弦信号必须有较高的精度,稳定度及低的失真率。 本次电子课程设计的低频正弦信号发生器的要求为:信号的频率范围为20HZ~20KHZ;输出电压幅度为 5V;输出信号频率数字显示;输出电压幅度显示。 针对以上设计要求,我们从图书馆收集,借阅了大量相关书籍,从网上下载了诸多相关资料,其次安装并学习使用了电路设计中所常使用的Multisim仿真软件。在设计的要求下,画出了整体电路的框图,将其分为正弦信号发生器,输出信号频率和其数字显示,输出电压和幅度数字显示三大部分。其中,正弦信号发生器部分主要由我负责,输出信号频率和其数字显示部分主要由刘琪负责,输出电压和幅度数字显示部分主要由李光辉负责。其次我们对每个单元电路进行设计分析,对其工作原理进行介绍,通过对电路分析,确定了元器件的参数,并利用Multisim 软件仿真电路的理想输出结果,克服了设计低频信号发生器电路方面存在的技术难题,使得设计的低频信号发生器结构简单,实现方便。 完成电路的设计与分析后,对资料与设计电路进行整理,排版,完成课程设计报告。

目录 摘要 (4) 关键字 (4) 技术要求 (4) 第一章系统概述 (5) 第二章单元电路设计 (6) 第一节正弦信号产生和放大电路模块设计 (6) 第二节数字的频率显示 (10) 第三节数字电压表设计 (17) 第三章结束语 (23) 参考文献 (23) 鸣谢 (23) 元器件明细表 (24) 收获与体会,存在的问题 (24) 评语 (26)

多功能信号发生器课程设计

《电子技术课程设计》 题目:多功能信号发生器 院系:电子信息工程 专业:xxxxxxxx 班级:xxxxxx 学号:xxxxxxxx 姓名:xxx 指导教师:xxx 时间:xxxx-xx-xx

电子电路设计 ——多功能信号发生器目录 一..课程设计的目的 二课程设计任务书(包括技术指标要求) 三时间进度安排(10周~15周) a.方案选择及电路工作原理; b.单元电路设计计算、电路图及软件仿真; c.安装、调试并解决遇到的问题; d.电路性能指标测试; e.写出课程设计报告书; 四、总体方案 五、电路设计 (1)8038原理, LM318原理, (2)性能\特点及引脚 (3)电路设计,要说明原理 (4)振动频率及参数计算 六电路调试 要详细说明(电源连接情况, 怎样通电\ 先调试后调试,频率调试幅度调试波行不稳调试 七收获和体会

一、课程设计的目的 通过对多功能信号发生器的电路设计,掌握信号发生器的设计方法和测试技术,了解了8038的工作原理和应用,其内部组成原理,设计并制作信号发生器能够提高自己的动手能力,积累一定的操作经验。在对电路焊接的途中,对一些问题的解决能够提高自己操作能力随着集成制造技术的不断发展,多功能信号发射器已经被制作成专用的集成电路。这种集成电路适用方便,调试简单,性能稳定,不仅能产生正弦波,还可以同时产生三角波和方波。它只需要外接很少的几个元件就能实现一个多种波、波形输出的信号发生器。不仅如此,它在工作时产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%,输出频率范围为0.01Hz~300kHz;方波的输出电压幅度为零到外接电源电压。因此,多功能信号发生器制作的集成电路收到了广泛的应用。 二、课程设计任务书(包括技术指标要求) 任务:设计一个能产生正弦波、方波、三角波以及单脉冲信号发生器。 要求: 1.输出频率为f=20Hz~5kHz的连续可调正弦波、方波和三角波。 2.输出幅度为5V的单脉冲信号。 3.输出正弦波幅度V o= 0~5V可调,波形的非线性失真系数γ≤

函数信号发生器 开题报告

毕业设计(论文)开题报告题目函数信号发生器 专业名称电子信息工程 班级学号118501106 学生姓名蔡伟攀 指导教师邓洪峰 填表日期2015年 3月25日

说明 开题报告应结合自己课题而作,一般包括:课题依据及课题的意义、国内外研究概况及发展趋势(含文献综述)、研究内容及实验方案、目标、主要特色及工作进度、参考文献等内容。以下填写内容各专业可根据具体情况适当修改。但每个专业填写内容应保持一致。

一、选题的依据及意义 1.选题依据 信号发生器(signal generator)又称信号源或振荡器,是输出供给量,产生频率、幅度、波形等主要参数都可调的信号,用于测量的信号发生器指的是能够产生不同频率、不同幅度的规则或不规则的信号源,在电子系统的测量、实验、校准和维护中的得到广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波甚至任意波形,各种波形曲线均可用三角函数方程式表示。如在制作和调试音频功率放大器时,就需要人为的输入一个标准音频信号,才能测量功率放大器的输出,得到功率放大器的相关参数,此时要用到的这个标准音频信号就是由信号发生器提供的,可见信号发生器的应用很广。信号发生器其作用是:测量网络的幅频特性、相频特性;测量网络的瞬态响应;测量接收机;测量元件参数等。 信号源可以分为通用和专用两种,通用信号源包括:正弦信号源、脉冲信号源、函数信号源、高频信号源、噪声信号源;专用信号源包括:电视信号源、编码脉冲信号源。信号发生器根据输出波形可以分为:正弦信号发生器、函数信号发生器、脉冲信号发生器和噪声信号发生器。 (1)正弦信号发生器 主要用于测量电路和系统的频率特性、非线性失真、增益及灵敏度等。按照其不同性能和用途还可以分为低频(20Hz~10MHz)信号发生器、高频(100kHz~300MHz)信号发生器、微波信号发生器、扫频和程控发生信号发生器、频率合成式信号发生器等。 (2)函数(波形)信号发生器 能产生特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可以从几微赫兹到几十兆赫兹。除供通信、仪表和自动控制系统测试外,还广泛用于其他非电测量领域。 (3)脉冲信号发生器 能产生宽度、幅度和重复频率可调的矩形脉冲的发生器,可用以测试线性系统的瞬态响应,或用作模拟信号来测试雷达、多路通信和其他脉冲数字系统的性能。(4)随机信号发生器 通常又分为噪声信号发生器和伪随机信号发生器两种。噪声信号发生器的主要用途为:在待测系统中引入一个随机信号,以模拟实际工作条件中的噪声而测定系统性能;外加一个已知噪声信号与系统内部噪声比较以测定噪声系数;用随机信号代替正

相关主题
文本预览
相关文档 最新文档