当前位置:文档之家› 简易电子琴1

简易电子琴1

简易电子琴1
简易电子琴1

目录

1概述 (1)

2方案设计 (2)

2.1系统设计要求 (2)

2.2电子琴系统的组成 (2)

2.3电子琴系统的设计思想 (2)

2.3.1 硬件设计思想 (2)

2.3.2 软件设计思想 (3)

3硬件电路设计 (4)

3.1系统方案 (4)

3.2系统功能框图 (5)

3.3功能模块详细设计 (5)

3.4主要芯片功能描述 (9)

4 系统软件设计 (10)

4.1主程序流程图 (10)

4.2源程序 (12)

4.3设计总结 (16)

5 仿真与调试 (17)

结束语 (19)

参考文献 (20)

1概述

单片微型计算机简称单片机,是典型的嵌入式微控制器(Microcontroller Unit),单片机芯片

常用英文字母的缩写MCU表示单片机,单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。相当于一个微型的计算机,和计算机相比,单片机只缺少了I/O设备。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。它最早是被用在工业控制领域。

由于单片机在工业控制领域的广泛应用,单片机由芯片内仅有CPU的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。INTEL的Z80是最早按照这种思想设计出的处理器,当时的单片机都是8位或4位的。其中最成功的是INTEL的8031,此后在8031上发展出了MCS51系列单片机系统。因为简单可靠而性能不错获得了很大的好评。尽管2000年以后ARM已经发展出了32位的主频超过300M的高端单片机,直到目前基于8031的单片机还在广泛的使用。在很多方面单片机比专用处理器更适合应用于嵌入式系统,因此它得到了广泛的应用。事实上单片机是世界上数量最多处理器,随着单片机家族的发展壮大,单片机和专用处理器的发展便分道扬镳。

现代人类生活中所用的几乎每件电子和机械产品中都会集成有单片机。手机、电话、计算器、家用电器、电子玩具、掌上电脑以及鼠标等电脑配件中都配有1-2部单片机。汽车上一般配备40多部单片机,复杂的工业控制系统上甚至可能有数百台单片机在同时工作!单片机的数量不仅远超过PC机和其他计算的总和,甚至比人类的数量还要多。

2方案设计

2.1 系统设计要求

本系统主要实现电子琴的基础操作。关于声音的处理,采用使用说明中的响声音的方法,使用汇编语言,利用定时器来控制频率,而每个音符的符号只是存在我自己定义的表中。具体要求如下:

(1)要求达到电子琴的基本功能,可以用弹奏出简单的乐曲。

(2)用键盘作出电子琴的按键,每键代表一个音符,该设计只有中音音段。(3)各音符按一定的顺序排列,必须符合电子琴的按键排列顺序。

(4)用汇编语言编程实现程序设计。

(5)利用查表,中断等方式实现目的。

(6)系统的各各功能模块要清楚,有序。

(7)程序运行时有友好的用户界面。

2.2 电子琴系统的组成

单片机因体积小、功能强、价格低廉而得到广泛应用。AT89C51单片机设计微型电子琴的方法,仅需AT89C51最小系统,扩展一组小键盘,再加一片LM386做音频小功放,输出到扬声器;电源由变压器,整流二极管,电容及稳压器组成;另外,再接一组发光二极管用来指示按键的按下与否。

由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,即可构成我们所想要的音乐了,当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样方波频率信号,实际上就是把每个按键所对应的值经过处理后发给单片机,再在单片机内把数字当作指针指向所对应的音符。

2.3 电子琴系统的设计思想

2.3.1 硬件设计思想

电子琴的原理框图如图一所示。它由以下几个部件组成:单片机AT89C51、

电源、4*4的16个按钮矩阵、音频放大模块。

电源部分:电源部分有二部分组成。一部分是由220V的市电通过变压、整流稳压来得到+5V电压,维持系统的正常工作;另一部分是由3V的电池供电,以保证停电时正常走时。正常情况下电池是不提供电能的,以保证电池的寿命。

2.3.2 软件设计思想

本系统的软件系统主要可分为主程序、定时计数中断程序、时间调整或定闹设置程序三大模块。在程序设计过程中,加强了部分软件抗干扰措施,下面对部分模块作介绍。定时中断模块我们可以用语句:

MOV TMOD ,#01H 写控制数

MOV TH0 ,#0XH 写定时常数

SETB TR0启动TR0

SETB ET0允许T0

SETB EA开放CPU中断关于声音的处理,第一种方法是使用汇编语言,利用定时器来控制频率,而每个音符的符号只是存在我自己定义的表中。音符的频率是通过查表得到的(见表2-1)。

一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,即可构成我们所想要的音乐了,当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系弄正确即可。现在以单片机12MHZ晶振为例,列出高中低音符与单片机计数T0相关的计数

值如表2-1所示.

表2-1

采用查表程序进行查表时,可以为这个音符建立一个表格,有助于单片机通过查表的方式来获得相应的数据:

TABLE:DW 64021,64103,64260,64400

DW 64524,64580,64684,64777

DW 64820,64898,64968,65030

DW 65058,65110,65157,65178

3硬件电路设计

3.1 系统方案

通过电子琴按键随意键入所要表达的音符,作为电平送给主体电路,中央处理器通过识别,编译,最后解码输出音符,再通过功放电路进行放大,最后在扬声电路中发出有效的声音。通过这样可以不断的弹奏音乐。嵌入式电路由电源电路,按键电路,LED显示电路,音频放大电路和两个功能键组成,通过功能键可

以选择播放音乐。

3.2 系统功能框图

该系统的主要模块由五个部分组成,具体关系如图3-1所示:

单片机电子琴总体框图

图3-1

3.3 功能模块详细设计

系统主要功能模块由以下几部分组成:

1、电源电路设计

从图3-2可知,无论是AT89C51单片机工作电源、二极管还是数码管的驱动,都要用到+5V的直流电源,所以,一个稳定的、持续的+5V直流电源对本系统十分重要。本系统运用桥式整流电路,将交流转换为直流,为各部分电路提供恒定的+5V直流。模拟部分和数字部分分别采用一个独立的稳压管供电,保证电路的稳定性和抗干扰。

图3-2

2 . 键盘控制电路设计

本系统采用独立式按键方式来实现键盘的。独立式按键是指直接用I/O口线构成单个按键电路,每个按键占用一条I/O口线,每个按键的工作状态不会产生相互影响。如上图所示,当图中的某一个键闭合时,相应的I/O口线变为低电平。当程序查询到为低电平的I/O口线时,就可以确定处于闭合状态的键。

图3-3

3、音频放大电路设计

LM386是美国国家半导体公司生产的音频功率放大器,主要应用于低电压消费类产品。为使外围元件最少,电压增益内置为20。但在1脚和8脚之间增加一只外接电阻和电容,便可将电压增益调为任意值,直至200。输入端以地位参考,同时输出端被自动偏置到电源电压的一半,在6V电源电压下,它的静态功耗仅为24mW,使得LM386特别适用于电池供电的场合。LM386的封装形式有塑封8引线双列直插式和贴片式。其追求的主要目标是在失真许可的限度内,高效率

地为终端负载提供尽可能大的输出功率。该系统中音频放大电路的设计如图3-6所示:

图3-4

4. 复位电路设计

复位是单片机的初始化操作,其主要功能是把PC初始化为0000H,使单片机从0000H单元开始执行程序。除了进入系统的正常初始化之外,当由于程序运行出错或操作错误使系统处于死锁状态时,为摆脱困境,也需按复位键以重新启动。RST引角是复位信号的输入端,复位信号是高电平有效,其有效时间应持续24个振荡脉冲周期以上。整个复位电路包括芯片内外两部分。外部电路产生的复位信号(RST)施密特触发器,再由片内复位电路在每个机器周期的S5P2时刻对施密特触发器的输出进行采样。然后才得到内部复位操作所需要的信号。

复位电路的基本功能是系统上电时提供复位信号直至系统电源稳定后撤销复位信号为可靠起见电源稳定后还要经一定的延时才撤销复位信号以防电源开关或电源插头分-合过程中引起的抖动而影响复位.

复位操作有上电自动复位和按键手动复位两种方式。上电自动复位是通过外部复位电路的电容充电来实现的.这样只要电源的上升时间不超过1ms,就可以实现自动上电复位,即接通电源就完成了系统的复位初始化.本设计采用按键手动复位方式。而该方式又分为电平方式和脉冲方式。其中按键电平方式是通过使复位端经电阻与电源接通而实现的。而按键脉冲复位则是利用RC微分电路产生的正脉冲来实现的。本设计采用按键电平复位方式,具体电路图如下:

图3-5

图中9端口与单片机复位信号(RST)相连。

5. 时钟电路设计

时钟电路用于单片机工作所需要的时钟信号,单片机本身就是一个复杂的同

步时序电路,为了保证同步工作方式的实现,电路应在唯一的时钟信号控制下严格的按时序进性工作。而时序所研究的则是指令执行中各信号之间的相互直接关

系。

1)时钟信号的产生

在MCS—51芯片内部有一个高增益反向放大器,其输入端为芯片引脚XTAL1,其输出端引脚XTAL2,在芯片的外部通过这两个引脚跨接晶体振荡器和微调电容,形成反馈电路,就构成了一个稳定的自激振荡器。如图3-9所示。

图3-6

除使用晶体振荡器外,如对时钟频率要求不高,还可以用电感或陶瓷谐振器代替。电路中的电容C1和C2一般取30pF左右,而晶体的振荡频率范围通常是1.2MHz~12MHz,晶体振荡频率高,则系统的时钟频率也高,单片机运行速度也就快。但反过来运行速度快堆存储器的速度要求就高,对印刷电路板的工艺要求也就高(线间寄生电容要小)。MCS—51在通常应用情况下,使用振荡频率

为6 MHz 的石英晶体,而12 MHz 主要是在高速串行通信的情况下才使用。随着技术的发展,单片机的时钟频率也在逐步提高,先在高速芯片已达40 MHz 。

定时振荡器的工作可由专用寄存器PCON 的PD 位进行控制,把PD 位置“1”,振荡器停止工作,系统进入低功耗状态。 2)引入外部脉冲信号

在由多片单片机组成的系统中,为了个单片机之间时钟信号的同步,应当引入唯一的公用外部脉冲信号作为各单片机的振荡脉冲。这时外部的脉XTAL2引脚注入,其连接如图3-10

所示。

外时钟信

图3-7

3.4 主要芯片功能描述

1. AT89C51单片机功能描述

51 系列单片机中典型芯片(如A T89C51) 采用40引脚双列直插封装(D IP) 形式, 内部由CPU , 4 kB 的ROM , 256 B 的RAM , 2 个16 b 的定时?计数器T 0和T1, 4 个8 b 的I ?O 端口P0, P1, P2, P3,一个全双功串行通信口等组成。特别是该系列单片机片内的F lash 可编程、可擦除只读存储器(E2PROM ) , 使其在实际中有着十分广泛的用途, 在便携式、省电及特殊信息保存的仪器和系统中更为有用。该系列单片机引脚与封装如图3-11所示。

51系列单片机为许多控制提供了高度灵活和低成本的解决办法。充分利用他

的片内资源,即可在较少外围电路的情况下构成功能完善的超声波测距系统。

图 3-11

2.电路总图

图 3-8

4 系统软件设计

软件由初始化程序、主程序、定时器1中断服务程序、键盘扫描程序和延时程序组成。

4.1主程序流程图

1.电子琴整体程序流程图:

图4-1 2.中断服务流程图:

图4-2 4.2源程序

LINE E QU 30H

ROW EQU 31H

VAL EQU 32H

ORG 00H

SJMP START

ORG 0BH

LJMP I NT_T0

START: MOV P0,#00H

MOV TMOD,#01H

;****************************************** ;按键扫描程序

;****************************************** LSCAN: MOV P2,#0F0H

L1: JNB P2.0,L2 LCALL DELAY

JNB P2.0,L2

MOV LINE,#00H LJMP R SCAN

L2: JNB P2.1,L3 LCALL DELAY

JNB P2.1,L3

MOV LINE,#01H LJMP R SCAN

L3: JNB P2.2,L4 LCALL DELAY

JNB P2.2,L4

MOV LINE,#02H LJMP R SCAN

L4: JNB P2.3,L1 LCALL DELAY

JNB P2.3,L1

MOV LINE,#03H RSCAN: MOV P2,#0FH C1: JNB P2.4,C2

MOV ROW,#00H LJMP C ALCU

C2: JNB P2.5,C3

MOV ROW,#01H LJMP C ALCU

C3: JNB P2.6,C4

MOV ROW,#02H LJMP C ALCU

C4: JNB P2.7,C1

CALCU: M OV A,LINE ;计算键号

MOV B,#04H

MUL AB

ADD A,ROW

MOV VAL,A

;******************************************* ;根据键号查表得到定时器的定时常数,

;从而发出不同频率的声音

;******************************************* MOV DPTR,#TABLE2

MOV B,#2

MUL AB

MOV R1,A

MOVC A,@A+DPTR

MOV TH0,A

INC R1

MOV A,R1

MOVC A,@A+DPTR

MOV TL0,A

MOV IE,#82H

SETB T R0

MOV A,VAL

LCALL LDCX ;显示键号

MOV DPTR,#TABLE1

MOVC A,@A+DPTR

MOV P0,A

;******************************************* ;等待按键释放

;*******************************************

CJNE A,#0FH,W1

MOV P0,#00H

CLR TR0

LJMP L SCAN

W1: MOV A,P2

CJNE A,#0F0H,W2

MOV P0,#00H

CLR TR0

LJMP L SCAN

W2: SJMP W0

;**************************************** ;定时器0中断服务程序,输出特定频率的方波, ;驱动扬声器发声

;**************************************** INT_T0: MOV DPTR,#TABLE2

MOV A,VAL

MOV B,#2

MUL AB

MOV R1,A

MOVC A,@A+DPTR

MOV TH0,A

INC R1

MOV A,R1

MOVC A,@A+DPTR

MOV TL0,A

CPL P3.7

RETI

LDCX: PUSH ACC

MOV DPTR,#TABLE3

MOVC A,@A+DPTR

MOV P1,A

POP ACC

RET

DELAY: M OV R6,#10

D1: MOV R7,#250

DJNZ R7,$

DJNZ R6,D1

RET

TABLE1: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H

DB 7FH,6FH,77H,7CH,39H,5EH,79H,71H

TABLE2: DW 64021,64103,64260,64400

DW 64524,64580,64684,64777

DW 64820,64898,64968,65030

DW 65058,65110,65157,65178

TABLE3: DB 0FEH,0FDH,0FBH,0F7H

DB 0EFH,0DFH,0BFH,7FH

DB 0FEH,0FDH,0FBH,0F7H

DB 0EFH,0DFH,0BFH,7FH

END

4.3设计总结

本系统最终实践效果基本达到设计要求,操作人员每执行一个动作,该系统就会有相应的反应,并且效果比较满意,基本达到设计的要求与目的。但是该系统的设计,还存在以下几点不足之处:①线性电源应该换为开关电源,这样才与现实中的电子产品更接近,而且这对电路也有保护作用。②只能自己弹奏,没有涉及激动播放音乐的内容。③发光二极管的亮与灭应该和按键的按下与释放对应起来,即当按键按下时,和该键相对应的发光二极管应亮着;当按键释放时,该

二极管应该熄灭。本系统的设计在单片机开发领域来说只能算是一个最初级的水平,当然,在设计该系统的过程中也遇到不少的问题,如:电源设计时变压器和稳压器的选择,功放设计过程中几个参数的确定及喇叭的选择等等,在我的合作伙伴和指导教员的协助下这一系列的问题最终都得到了解决。

5 仿真与调试

通过proteus的仿真,得出如下的仿真运行是的导出图。

图5-1

图 5-2

结束语

通过本次课程设计,使我学到了许多书本上无法学到的知识,也使我深刻体会到单片机技术应用领域的广泛。不仅让我对学过的单片机知识有了很多的巩固,同时也对单片机这一门课程产生了更大的兴趣。本设计涉及到《单片机原理及应用》、《模拟电子技术》等学科。让我对专业知识有了更深的理解。

在本次课程设计过程中,我学会了在网络上查找有关本设计的各硬件的资源,其中包括:AT89C51单片机及其引脚说明及其引脚功能等,为本次课程设计提供了一定的资料。

在做课程设计的初期阶段,难度很大,没有头绪。通过在图书馆里、网上查阅资料,攻克了课程设计中的道道难题。本次设计我能独立完成,算是有了很大的收获。总的感受有以下几方面:

1) 巩固了课本上的知识。通过本次设计,我不但对单片机有了更为深入的了解,对一个课题如何画流程图,编程序等,有了一定的认识。

2) 在本次课程设计中,我进一步加强了自己的动手能力和运用专业知识的能力,从中学习到如何去思考和解决问题,以及如何灵活地改变方法去实现设计方案;特别是深刻体会到的是软件和硬件结合的重要性,以及两者的联系和配合作用。

3) 通过本次毕业设计,让我了解到智能化技术对当今人们生活的重要性。同时这次做课程设计的经历也使我受益匪浅。让我知道做任何事情都应脚踏实地,刻苦努力地去做。只有这样,才能做好。

在这次课程设计中,我既巩固了专业知识,又学到了在智能化设计过程中的许多流程和该注意的事项,增强了电子产品开发的意识。本次经历将是我在大学时期很好的一次实践和锻炼机会。

简易电子琴电路的制作

简易电子琴电路的制作 正文: 一、课程设计的目的 1.了解UA741芯片和DG4102芯片的逻辑功能。 2.学会使用示波器。 3.能够组装复杂的线路并调试。 4.能够熟练地焊接各个元器件到焊接实验板上。 5.了解音调的初步知识。 二、课程设计所用仪器 1.图1运算放大器UA741。 图1 DG4102型单片式集成功放电路结构外形图和管脚1和5为偏置(调零端),2为反向输入端,3为正向输入端,4接负电(-Vcc),6为输出,7接正电源(+Vcc), 8空脚 2.集成功放DG4102。 本实验采用DG4102型单片式集成功率放大电路,此集成电路是带散热片的14脚双列直插式塑料封装结构,其结构外形图和管脚如图2所示: 图2 DG4102型单片式集成功放电路结构外形图和管脚1——输出端, 6——反相输入端, 9——输入端,4、5——补偿电容, 10、

12——旁路电容, 13——自举电容,2、7、8、11——空脚, 3——接地, 14——电源电压(+VCC )。 3. 示波器、数字万用表、扬声器一只、焊接实验板、函数信号发生器、晶体三 极管(9013)、电阻器若干、电容器若干、按键式开关8只、电烙铁、焊锡丝、若干导线 三、课程设计的原理 (一)、简易电子琴电路设计原理 1、简易电子琴电路是将振荡电路与功率放大电路结合的产物。RC 振荡电路(如图3所示)是由RC 选频网络和同相比例运算电路组成,对不同频率的输入信号产生不同的响应。当RC f f π210==时 O U 和i U 同相,并且31==o i U U F 。而同相比例运算电路的电压放大倍数为11R R U U A F i O U +== , 可见,12R R F =时3=U A , 1=F A U 。O U 和i U 同相,也就是电路具有正反馈。起振时F A U >1, U A >3.随着振荡幅度的增大, U A 能自动减小,直到满足3=U A 或1=F A U 时,振幅达到稳定,以后可以自动稳幅。 R R F 图3 RC 振荡电路 2、功率放大电路的任务是将输入的电压信号进行功率放大,保证输出尽可能大的不失真功率,从而控制某种执行机构,如使扬声器发出声音、电机转动或仪表指示等等。

基于单片机的简易电子琴正确版

//简易电子琴 #include<> //包含51单片机寄存器定义的头文件 sbit P14=P1^4; //将P14位定义为引脚 sbit P15=P1^5; //将P15位定义为引脚 sbit P16=P1^6; //将P16位定义为引脚 sbit P17=P1^7; //将P17位定义为引脚 unsigned char keyval; //定义变量储存按键值 sbit sound=P3^6; //将sound位定义为 unsigned int C; //全局变量,储存定时器的定时常数 unsigned int f; //全局变量,储存音阶的频率 //以下是C调低音的音频宏定义 #define l_dao 262 //将“l_dao”宏定义为低音“1”的频率262Hz #define l_re 286 //将“l_re”宏定义为低音“2”的频率286Hz #define l_mi 311 //将“l_mi”宏定义为低音“3”的频率311Hz #define l_fa 349 //将“l_fa”宏定义为低音“4”的频率349Hz #define l_sao 392 //将“l_sao”宏定义为低音“5”的频率392Hz #define l_la 440 //将“l_a”宏定义为低音“6”的频率440Hz #define l_xi 494 //将“l_xi”宏定义为低音“7”的频率494Hz //以下是C调中音的音频宏定义 #define dao 523 //将“dao”宏定义为中音“1”的频率523Hz #define re 587 //将“re”宏定义为中音“2”的频率587Hz #define mi 659 //将“mi”宏定义为中音“3”的频率659Hz #define fa 698 //将“fa”宏定义为中音“4”的频率698Hz #define sao 784 //将“sao”宏定义为中音“5”的频率784Hz #define la 880 //将“la”宏定义为中音“6”的频率880Hz #define xi 987 //将“xi”宏定义为中音“7”的频率53

简易电子琴课程设计报告

摘要 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器,它在音奏中已成为不可缺少的一部分。本文主要介绍运用555定时器制作简易电子琴的设计方法。该方法利用555定时器构成多谐振荡器,通过按键控制不同的RC组合应用多谐振荡器产生不同频率八个基本音阶的脉冲信号波,然后连到扬声器上,即可发出八音阶的音乐。在该设计中,利用了555定时器构成的多谐振荡器产生各音阶不同频率的脉冲,不仅仅使其频率调节更加方便,而且发出的声音稳定、饱满。 前言 (1) 第一章设计内容及要求 (2) 1.1 设计的基本原理 (2) 1.2 设计要求 (2) 第二章系统组成及工作原理 (3) 2.1 系统组成 (3) 2.1.1 按键模块 (3) 2.1.2音调发生模块 (3) 2.1.3音响模块 (4) 2.2 工作原理 (4) 2.2.1 NE555多谐振荡器 (5) 2.2.2 LM386集成功率放大器 (7) 第三章方案比较 (8) 3.1 方案一 (8) 3.2 方案二 (9) 3.3方案三 (10) 3.4方案分析与比较 (11) 第四章参数计算、器件选择 (12) 4.1 参数计算 (12) 4.2 器件选择 (12) 第五章系统调试及测试结果分析 (14) 5.1 系统调试 (18) 关键词:简易电子琴,555定时器,多谐振荡器,八个基本音阶 目录

5.2 硬件调试···················································19 2 5.3 测试结果与分析 (19) 前言 随着当代科学设计的发展,电子产品在人们的日常生活中占据着越来越多重要的地位。电子琴作为其中的一个典型代表,引领着许多孩子进入音乐的殿堂。因此,我们选择了简易电子琴这个题目来制作,因为它不仅能过提高实际动手能力,还与实际生活有着紧密的联系。 模拟电子技术基本教程是一门实践性很强的课程,而此次课程设计依据的理论基础是模拟电子技术基本教程,其主要目的是通过本课程的培养,启发学生的创造性思维,进一步探究书本知识。本课程设计是设计出一个电子产品,先焊接好,再进行检验。 在电子课程设计的过程中,系统的概念十分重要,熟悉从系统的层次分析问题、解决问题的方式。基本方法除了实验课中要求掌握的功能测试、故障排除等各种一般方法以外、要特别注重使用“电路拼装”的方法。课程设计的一般步骤如下:(1)、选择一个课题;(2)、查阅有关资料;(3)、进行可行论证;(4)、通过设计方案的比较,定出最优的设计方案;(5)、分解为多个模块;(6)、分别设计各个功能模块电路,并完成调试;(7)、组装成完整的数字系统;(8)、编写设计、安装、调试报告。 1 第一章设计原理及要求 1.1 设计的原理 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 5.4 误差分析 (19) 实验小结及心得体会 (20) 结论······························································21 参考 文献·························································22 附录一····························································23 附录二···························································· 24 3

基于单片机的简易电子琴设计课程设计

基于单片机的简易电子琴设计课程设计

湖南文理学院 课程设计报告 课程名称:单片机课程设计 专业班级:自动化10102班17号学生姓名:肖葵 指导教师:王南兰 完成时间:2013年 6 月13 日报告成绩: 湖南文理学院制

摘要 随着社会的发展进步,音乐逐渐成为人们生活中很重要的一部分,有人曾说喜欢音乐的人不会向恶。我们都会抽空欣赏世界名曲,作为对精神的洗礼。本论文设计一个基于单片机的简易电子琴。人们对于电子琴如何实现其功能,如音色选择、声音强弱控制、节拍器、自动放音功能等等也很好奇。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演着重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘、扬声器等模块组成核心主控制模块,在主控模块上设有16个按键和扬声器。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。 关键词:AT89S51;音色节拍器;电子琴

ABSTRACT With the development of our society, music has become an important part of life. There’s a saying goes that people who likes music cannot be an evil. During our life, we often enjoy all kinds of music in the world to baptize our spirits. This thesis has designed a simple microcontroller-based electronic key board. We are curious about the foundation of electronic keyboard, such as the choice of timber, the control of volume, the metrononme and automatic playback. The keyboard is a product of modern electronic technology combined with music, it is a new type of keyboard instruments. And it plays an important role in modern music. Single chip has a powerful control functions and flexible programming characteristics. It has converged with modern people's lives, become an irreplaceable part. The main content is AT89S51 control of the core components, Design of an electronic organ, single chip as a host to the core, with the keyboard, speakers and other core modules main control module, in the main control module has 16 keys and speakers. Stability of the system, its advantages are simple hardware circuits, software functions, control system reliability, high cost performance and have certain practical and reference value. Key words : single chip MCU keyboard speaker electronic organ

简易电子琴完整版

设计简易电子琴 学号:031041108 学生姓名:冯桥专业(班级):电子(11) 摘要:简易电子琴电路是以 NE555 时基电路为核心组成的多谐振荡器电路,由振荡器电路产生频率信号,再通过由 LM386 小功率集成功放为核心组成的功放电路,最后由扬声器输出信号,发出 8个不同频率的音符。 通过改变一组开关的通断可以发出不同的音符和音调,分别按下音符按键能发出 8 个不同频率的音符。 关键词:NE555 LM386 音调集成功放驱动 1 任务提出与方案论证 1.1 设计要求 1、要求有7个音阶,可以用数字芯片构成,也可由单片机构成。 2、用Multisim仿真。 3、搭建实体电路 要求掌握:数字电路的设计方法 1.2 方案论证 方案一: 基于RC振荡电路构成文氏电桥振荡电路,通过改变电阻或电容的值,可以得到不通的振荡频率,从而可以构建八音阶的电子琴系统。(注:通过此方法完成后只能发出一种声响,而且不能停止,是电路设计与链接问题。) 方案二: 555定时器可以构成单稳态触发器,而单稳态触发器仅有一个稳态,故可以通过改变其暂态在一个周期内的时间长度以得到不同的频率,来构建电子琴系统。 本设计选用第二种方法实现。

2 总体设计 2.1 系统总体组成··

·· 本系统主要由多谐振荡发生电路,扬声器及外部电路组成。通过按键开关接通电路产生振荡方波信号,通过改变电位器电阻的大小来调节振荡频率的大小;接着驱动扬声器发出声音。多谐振荡发生电路按住一个开关电路接通电路外部电容、电阻与555芯片构成多谐振荡电路进行循环的充放电,则输出脉冲矩形波信号。 2.2 总电路图

简易电子琴课程设计

课程设计任务书学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采用运算放大 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理并仿真实现系 统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

(完整版)基于51单片机简易电子琴的设计

电子琴的设计 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。一. 任务要求与总体设计方案 1.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 1.2 设计方案 1.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率

简易电子琴的设计与仿真.

proteus 7的使用及调试 定时器、中断、键盘接口数字电路、模拟电路的相关知识2、实现及编程 2.1程序框图 ) 2.2电路原理图

2.3程序内容 7个音调的产生方法 按k2让音调逆向输出 如何按k8+k2放出音乐和如何 让音乐停止 2.4汇编源程序 BUZZ EQU P2 BUZZ1 EQU P1.7 ORG OOOOH LJMP MAIN ORG 000BH LJMP INT_T0 ORG 001BH LJMP TIME1 ORG 8000H MAIN: MOV SP,#90H MOV BUZZ,#0FFH MOV TMOD,#11H SETB ET0 SETB ET1 SETB EA CLR TR0 START: MOV R0, BUZZ CJNE R0,#0FFH,KEY1 CLR TR0 SJMP START KEY1: CJNE R0,#0FEH,KEY2 MOV 30H,#0FBH MOV 31H,#0E9H LJMP SET_TIMER KEY2: CJNE R0,#0FDH,KEY3 MOV 30H,#0FCH MOV 31H,#5CH LJMP SET_TIMER KEY3: CJNE R0,#0FBH,KEY4 MOV 30H,#0FCH MOV 31H,#0C1H LJMP SET_TIMER KEY4: CJNE R0,#0F7H,KEY5 MOV 30H,#0FCH MOV 31H,#0EFH LJMP SET_TIMER KEY5: CJNE R0,#0EFH,KEY6 MOV 30H,#0FDH MOV 31H,#045H LJMP SET_TIMER KEY6: 囉* 祖 1IU ■□■1l ? ■CkUJUfiia ▼"■VZ AfiJkAAl ■E * F Wi -54 ■U A JM "bn iunTb —- P XF

基于51单片机简易电子琴的课程设计

基于51单片机简易电子琴 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。 2 任务要求与总体设计方案 2.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 2.2 设计方案 2.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率较小,且价格低廉,所以,被广泛应用。 2.2.2 按键控制模块

-用555制作简易电子琴

555简易电子琴电路制作 一设计要求与任务 1.学习调试电子电路的方法,提高实际动手能力。 2.了解由555定时器构成简易电子琴的电路及原理。 二总体框图 、【模块功能】 该电路包括按钮开关,定值电阻,555振荡器和扬声器三部分组成, 1输入端:由八个按钮开关与各自的定值电阻串联在并联组成输入端2频率产生端:根据定值电阻的不同输入,由555产生不同的信号频率 3扬声器端口: 接受信号频率发出特定的频率

【设计方案】 555定时器 本实验采用两个555集成定时器组成简易电子琴。整个电路由主振荡器,颤音振荡器,扬声器和琴键按钮等部分组成。 主振荡器由555定时器,七个琴键按钮S1~S7,外接电容C1、C2,外接电阻R8以及R1~R7等元件组成,颤音振荡器由555定时器,电容C5及R9、R10 等元件组成,颤音振荡器振荡频率较低为64Hz,若将其输出电压U连接到主振荡器555定时器复位端4,则主振荡器输出端出现颤音。 按图接线后闭合不同开关即可令喇叭发出不同频率的声响,从而模拟出电子琴的工作。 三选择器件 【实验器材】 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 多谐振荡器的工作原理 多谐振荡器是能产生矩形波的一种自激振荡器电路,由于矩形波中除基波外还含有丰富的高次谐波,故称为多谐振荡器。多谐振荡器没有稳态,只有两个暂稳态,在自身因素的作用下,电路就在两个暂稳态之间来回转换,故又称它为无稳态电路。

课程设计简易电子琴

课程设计 课程名称 题目名称 专业班级 学生姓名 学号 指导教师 二○--年月日

目录 1 设计任务书 (1) 2 电路总体设计 (2) 3 各部分电路设计 (3) 3.1 R、C选频网络电路的设计 (3) 3.2 波形产生电路 (4) 4 Multisim仿真与数据分析 (7) 5总结 (9) 6致谢 (10) 参考文献 (11) 附录A 总原理图 (12) 附录B 系统元器件清单 (13)

1 设计任务书 设计目的 (1)熟悉数字电子技术课程设计的方法和思想 (2)熟悉仿真软件Multisim使用 (3)进一步理解555多谐振荡器在设计过程中的使用 (4)熟悉555多谐振荡器的应用 (5)熟悉简易电子琴的设计方法和过程 设计思路 (1)先查询简易电子琴的七个音(各包括低、中、高三个音)的频率 (2)再设置充电电阻和固定电容,根据公式算出每个音阶对应电阻的阻值,从而确定R C选频网络电路。 (3)用多谐振荡器产生矩形脉冲驱动蜂鸣器发出不同声音 (4)用仿真软件中的虚拟仪器示波器和频率计测量每一个音阶的波形和频率。

2 电路总体设计 本电路主要是由RC选频网络电路和555定时器构成的多谐振荡器组成。因为设计要实现电子琴dou、ruai、mi、fa、suo、la、si七个音的发声。而每一个音都对应一个频率和电阻,所以通过设计不同的电阻和电容组成R、C选频电路。每一个频率经过多谐振荡器都会产生一个矩形脉冲。因为是在仿真软件中无法实现电子琴发声,采用示波器测量矩形波形和用频率计测量每一个选频网络中对应的每一个频率,再和实际数据相比较来判定发出的声音是否准确。该电路实现了dou、ruai、mi、fa、suo、la、si(各包括低、中、高三个音)的发声仿真。实现了简易电子琴的设计。电路整体框图如下: 图2.1 基本方框图 该电路具有原理简单、容易制作、调试方便等特点。能实现二十一种频率的方波且能驱动喇叭C调的二十一个音阶。其中,稳压电源可以由电脑提供。

简易电子琴课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采 用运算放大器构成振荡电路,用集成功放电路输出。已知八个基本音阶在C调时所对 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原 理并仿真实现系统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

简易电子琴设计说明

模拟电子技术课程设计报告 题目名称:简易电子琴 姓名:黄鹏程 学号:150712165 班级: 15电本六班 指导教师:王爱乐 成绩: 工程技术学院 信息工程与自动化系

摘要 随着社会的发展进步,音乐已成为我们生活中很重要的一部分,电子琴则是一种很常见的键盘乐器,是现代电子科技和音乐结合的产物。在各个领域扮演很重要的角色,早已融入现代人们的日常生活中,成为不可替代的一部分。 简易电子琴主要是由8个按键控制,根据固定电阻的不同,从而产生不同的振荡频率,并且将信号放大后由扬声器输出声音。 为了能得到频率不同的波,波形产生部分首先使用了NE555芯片,从而得到振荡的正弦波;将信号传给LM386进行功率放大,使扬声器发出相应的音阶。分块调试测试电子琴,先是震荡电路的线路测试,再是功率放大电路的测试。 经过调试之后,焊接而成的作品能产生8个音调的不同振荡频率的音阶。 关键词:NE555 LM386 频率电子琴

目录 第一章设计任务.............................................. - 4 -1.1设计要求............................................................. - 4 - 1.2设计目的............................................................. - 4 - 1.3总体思想构图......................................................... - 5 -第二章系统组成及工作原理..................................... - 7 - 2.1 NE555简介........................................................... - 7 - 2.2逻辑符号............................................................. - 8 - 2.3 NE555部原理图....................................................... - 9 - 2.4逻辑功能............................................................ - 10 - 555定时器逻辑功能...................................................... - 10 - 2.5 LM386芯片介绍..................................................... - 12 - 2.5.1 外形、管脚排列及电路............................................. - 12 - 2.5.2 LM386主要性能指标................................................ - 12 - 2.6 简易电子琴系统组成.................................................. - 13 - 2.6.1 按键模块.......................................................... - 13 - 2.6.2音调发生模块...................................................... - 13 - 2.6.3音响模块.......................................................... - 13 - 2.7 简易电子琴的工作原理................................................ - 13 -第三章模块定路设计与参数计算................................ - 14 - 3.1波形发生部分........................................................ - 14 - 3.2功率放大部分........................................................ - 15 -第四章系统调试.............................................. - 17 - 4.1 调试步骤........................................................... - 17 - 4.2 调试过程........................................................... - 17 - 4.3 调试结论........................................................... - 17 -参考文献..................................................... - 18 - 附录.............................................. 错误!未定义书签。附录一:元器件清单............................................ 错误!未定义书签。附录二电路仿真.............................................. 错误!未定义书签。附录三制作作品原图......................................... 错误!未定义书签。

简易电子琴

电子工程学院课外学分设计报告 题目:简易电子琴设计 姓名:学号:35 专业:电子信息工程实验室:开放实验室班级:1211 设计时间:年月日——年月日 评定成绩:审阅教师:

目录 1. 设计任务、目的 (1) 2. 方案设计与论证(或基本原理与论证) (1) 3. 硬软件设计 (1) 4. 实现与测试(或调试) (5) 5.分析与总结 (6)

1. 设计任务、目的 1. 硬件电路设计(制作实物,行列键盘输入,至少21键,扬声器输出) 2. 驱动程序设计:扬声器驱动函数,键盘扫描函数 3. 结合驱动程序设计程序实现如下功能: - 按键发声 - 音乐播放(3首以上 2. 方案设计与论证(或基本原理与论证) 音乐是有由不同的音阶组成的,而不同的音阶又是由不同的频率发出的,那么产生不同的频率,就可以发出不同的音乐了。而利用单片机就可以产生不同的频率的方波,因此选择单片机为为主来设计。通过程序编写实现单片机输出不同的频率,输出的方波信号再通过功放输出声音。同时电子琴加入led用来显示。 本设计的主要工作是程序编写和焊电路板,通过程序让电子琴实现音乐演奏,歌曲播放以及记录已按下的音符,并播放,最后实现led显示。而硬件主要有单片机最小系统,键盘模块,发声模块,还有一个电源模块。 图2.1总体方案图 3. 硬软件设计 3.1硬件电路设计

图3.1硬件电路图 本系统有主控单片机、按键、led显示模块、扬声器模块以及电源组成。 1.单片机最小系统 单片机最小系统由STC89C51芯片、晶振、电容组成。 2.按键设计 按键采用4*6扫描;4根行线接P10-P13,六根列线接P14-P17以及P20,P21口共24个按键,0-20代表音符键,0-6代表低音1,2,3,4,5,6,7;7-13代表中音1,2,3,4,5,6,7; 14-20代表高音1,2,3,4,5,6,7;21号按键表示播放歌曲键,当按下21号键,进入播放歌曲函数,当按下22号键时,播放下一首歌曲,当按下23键时,退出播放返回主程序。而在主程序中时,代表演奏状态,当按下23号键时,进入录音状态,此时有个绿色的指示灯会亮。而进入录音后,再按一次23键,指示灯灭,退出录音状态,返回主程序。 3.Led灯设计 7个绿色的LED代表按键的音符DO,RE,MI...分别接到P0口的各个I端口音符DO 时,一个LED亮,音符MI时,2个LED亮…以此类推。有一个绿色LED指示当前状态,当电子琴处于录音时,LED亮,否则,灭。 4.扬声器模块 扬声器模块由扬声器、三极管和电阻组成。经过三极管的放大作用驱动扬声器发声。 3.2软件设计

模电课程设计简易电子琴的设计

1. 模电课设概述 现在的电子琴一般使用PCM或AWM采样音源。所谓采样就是录制乐器的声音,将其数字化后存入ROM里,然后按下键时CPU回放该音。甚至有一些高级编曲键盘可以使用外置采样(比如Tyros 3的硬盘音色)。现代电子琴并非“模仿”乐器音色。它使用的就是真实乐器音色。当然,现在力度触感在电子琴里是必备的。而且现代电子琴还加上了老式电子琴的滤波器,振荡器,包络线控制来制造和编辑音色。甚至也带上了老式电子琴的FM 合成机构。 本次课程设计主要是通过对电子琴主体部分的电路进行模仿设计,按下不同琴键改变RC值,发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出音调,从而达到电子琴固有的基本功能。 2. Proteus软件简介 Proteus软件是由英国LabCenter Electronics公司开发的EDA工具软件,由ISIS和ARES两个软件构成,其中ISIS是一款便捷的电子系统仿真平台软件,ARES是一款高级的布线编辑器,它集成了高级原理布线图、混合模式SPICE电路仿真、PCB设计以及自动布线来实现一个完整的电子设计。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus软件的模拟仿真直接兼容厂商的SPICE模型,采用了扩充的SPICE3F5电路仿真模型,能够记录基于图表的频率特性、直流电的传输特性、参数的扫描、噪声的分析、傅里叶分析等,具有超过8000种的电路仿真模型。 Proteus软件支持许多通用的微控制器,如PIC、AVR、HC11以及8051;包含强大的调试工具,可对寄存器、存储器实时监测;具有断点调试功能及单步调试功能;具有对显示器、按钮、键盘等外设进行交互可视化仿真的功能。此外,Proteus可对IAR C-SPY、KEIL 等开发工具的源程序进行调试。 此外,在Proteus中配置了各种虚拟仪器,如示波器、逻辑分析仪、频率计,便于测量和记录仿真的波形、数据。 3. 简易电子琴基本原理 3.1 音乐产生原理 由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我

简易电子琴课程设计报告超详细

广州大学机械与电气工程学院 电子信息工程系 课 程 设 计 报 告 课程名称:电子技术课程设计 设计题目:简易电子琴 专业班级:电子信息工程 2 班 设计者:苏伟强 学号: 51 06 指导教师:秦剑彭绍湖

设计所在学期: 2016~2017学年第 2 学期 设计所在时间: 2014年7月6日-12日 地点: 电子信息实验楼314 315 目录 一课程设计题目 (3) 1 题目分析理解 二设计任务及要求 (3) 1 要求 2 任务安排 3 进度安排 三电路设计 (4) 1 方案论证 2 单元电路设计与数据分析 文氏桥正弦波震荡电路 LM386组成的功率放大电路 3 确认理论参数 四电路仿真............................................................................. (13)

1 multisim仿真图 2 仿真结果 3 误差分析及总结 五元器件的选择......................................................................... .. (19) 1 元件分析 1 元件清单 六 PCB设计......................................................................... ..................................................错误!未定义书签。0 1 原理图设计 2 选择封装 3 生成PCB 七制作与调试......................................................................... (22) 1 电路板的热转印,焊接元器件 2 故障排除并且接通电源 3 调试过程 4 数据记录和分析 八试验中遇到的问题 (25) 1 仿真过程遇到的问题 2 制作PCB遇到的问题 3 电路调试的时候遇到的问题 九心得体会 (26) 十参考文献............................................................................. . (27)

简易电子琴电路课程设计(模拟电路)

逗你玩 课程设计报告 课程名称:模拟电子技术课程设计 专业班级:电子信息工程(2)班 学生学号: 0705110931 学生姓名:夏柳 所属院部:信息技术学院 指导教师:王雪 20 08 ——20 09 学年第 2 学期

《模拟电子技术》课程设计报告 --------简易电子琴的制作 简易电子琴电路 摘要: 本课程设计以制作一个简易电子琴为最终结果,主要以硬件测试为主。首先进行电路分析,设计电路图,其次考虑所有可能出现的问题,完善电路图,再选择合适的器件,最后按照电路图线路搭试,调试测试,直至达到理想的目标。当然在这之前对焊点等要事先查阅资料,了解手工焊接技术;查阅有关4100芯片,741芯片的功能等参数,还有测试其芯片是否好坏的电路和方法;同时还要了解RC振荡电路,与其产生振荡的条件跟原理,选择稳幅电路,理解其稳幅的原理;当然还要计算八个音阶的产生的频率,再根据RC振荡电路计算电阻值,以便选择合适的电阻,这些都是课前准备。测试电子琴我们要一步一步的,首先是振荡电路的线路测试,其次选频电路的测试,功放电路的测试,最后再是总体测试,尽量消除噪音,使音质能够很清晰。这样电子琴我们就做好了。 关键图:

电子琴的主干图

第一部分:课前准备 1.1芯片性能指标 1.2手工焊接技术 1.3元件制作工艺 第二部分:设计方案及选定 2.1八个音阶的频率 2.2振荡电路的选择与设计 2.3八个电阻的选择 2.4稳幅方式的选择 2.5功率放大电路的设计 第三部分:简易电子琴电路的检测与误差分析 3.1芯片测试 3.2振荡电路测试 3.3电子琴的测试 第四部分:元器件清单 第五部分:心得体会 第六部分:参考文献

相关主题
文本预览
相关文档 最新文档