当前位置:文档之家› 数字信号处理滤波器

数字信号处理滤波器

数字信号处理滤波器
数字信号处理滤波器

1.设计物理可实现的低通滤波器

设计思路:因为要设计FIR有限脉冲响应滤波器,通常的理想滤波器的单位脉冲响应h是无限长的,所以需要通过窗来截断它,从而变成可实现的低通滤波器。程序如下:

clc;clear all;

omga_d=pi/5;

omga=0:pi/30:pi;

for N=3:4:51;

w1= window(@blackman,N);

w2 = window(@hamming,N);

w3= window(@kaiser,N,2.5);

w4= window(@hann,N);

w5 = window(@rectwin,N);

M=floor(N/2);

subplot(311);plot(-M:M,[w1,w2,w3,w4,w5]); axis([-M M 0 1]); legend('Blackman','Hamming','kaiser','hann','rectwin');

n=1:M;

hd=sin(n*omga_d)./(n*omga_d)*omga_d/pi;

hd=[fliplr(hd),1/omga_d,hd];

h_d1=hd.*w1';h_d2=hd.*w2';h_d3=hd.*w3';h_d4=hd.*w4';h_d5=hd.*w5';

m=1:M;

H_d1=2*cos(omga'*m)*h_d1(M+2:N)'+h_d1(M+1);

H_d2=2*cos(omga'*m)*h_d2(M+2:N)'+h_d2(M+1);

H_d3=2*cos(omga'*m)*h_d3(M+2:N)'+h_d3(M+1);

H_d4=2*cos(omga'*m)*h_d4(M+2:N)'+h_d4(M+1);

H_d5=2*cos(omga'*m)*h_d5(M+2:N)'+h_d5(M+1);

subplot(312);plot(omga,[H_d1,H_d2,H_d3,H_d4,H_d5]);

legend('Blackman','Hamming','kaiser','hann','rectwin');

subplot(313);plot(abs([fft(h_d1);fft(h_d2);fft(h_d3);fft(h_d4);fft(h_ d5)])');

pause();

end

程序分析:

整个对称窗的长度为N,然而为了在MATLAB中看到窗函数在负值时的形状需将N变为它的一半,即为2M+1个长度。窗长设置为从3开始以4为间隔一直跳动51。则长度相同的不同窗函数在时域[-M,M]的形状如第一个图所示。

对窗函数进行傅里叶变换时,将零点跳过去先构造一个一半的理想滤波器的脉冲响应hd,再将零点位置求导得出的数赋值进去。将生成的hd左右颠倒形成了一个理想的滤波器的脉冲响应。将构造的理想滤波器的脉冲响应依次与之前定义的窗函数相乘,相乘出来的为列向量,用转置将其变成行向量,形成的h_d就是非理想的低通滤波器的脉冲响应序列。因为h_d为对称奇数长度序列,它的DTFT 可以是二倍的离散余弦变化,而零点的位置则直接带入求出,两者相加则是H_d。则第二个图表示的是五个矩阵向量在频域的变化,而第三个图表示的是五个非理想低通滤波器的傅里叶变换,图三FFT给出的结果永远是对称的,因为它显示

了DFT的周期性。

2、利用脉冲响应不变法设计一巴特沃斯低通数字滤波器,通带截止频率p ω=2.0π,阻带下限频率s ω= 4.0π,通带最大衰减p δ为3dB ,阻带最小衰减s δ为20dB ,给定Ts =0.001s 。

程序如下:

Ts=0.001;

Ap=3;As=20;

OmegaP=0.2*pi/Ts;

OmegaS=0.4*pi/Ts;%模拟通带、阻带截止频率

[n,Wn]=buttord(OmegaP,OmegaS,Ap,As,'s');%确定最小阶数n 和反归一化截止频率Wn

[b,a]=butter(n,Wn,'s');%b 、a 分别为模拟滤波器的分子、分母按降幂排列的多项式系数

[bz,az]=impinvar(b,a,1/Ts);%脉冲响应不变法得到数字滤波器的分子分母系数 omega=[0:0.01:pi];%确定坐标轴范围

h=freqz(bz,az,omega);%得到模拟滤波器的单位冲激响应系数

Ampli=20*log10(abs(h)/abs(h(1)));%求衰减的分贝

subplot(2,1,1);

plot(omega/pi,Ampli,'k');%显示滤波器的幅度响应

xlabel('数字频率/\pi');

ylabel('幅度/dB');

grid;

subplot(2,1,2);

theta=phasez(bz,az,omega);%滤波器的相位响应及坐标值

plot(omega/pi,theta*360/(2*pi),'k');%显示滤波器的相位响应

xlabel('数字频率/\pi');

ylabel('相位/度');

grid;

程序所得图像如下:

3、利用双线性变换法设计一巴特沃斯低通数字滤波器,通带截止频率p ω=2.0π,阻带下限频率s ω= 4.0π,通带最大衰减p δ为3dB ,阻带最小衰减s δ为20dB ,给定Ts =0.001s 。

程序如下:

Ap=3;

As=20;

OmegaP=0.2*pi;%数字通带截止频率

OmegaS=0.4*pi;%数字阻带截止频率

[n,Wn]=buttord(OmegaP/pi,OmegaS/pi,Ap,As);%确定最小阶数n 和反归一化截止频率Wn

[bz,az]=butter(n,Wn);%bz 、az 分别为数字滤波器的分子、分母按降幂排列的多项式系数 omega=[0:0.01:pi];%确定坐标轴范围

h=freqz(bz,az,omega);%得到滤波器的单位冲激响应系数

Ampli=20*log10(abs(h));%求衰减的分贝

subplot(2,1,1);

plot(omega/pi,Ampli,'k');%显示滤波器的幅度响应

xlabel('数字频率/\pi');ylabel('幅度/dB');grid;

subplot(2,1,2);

theta=phasez(bz,az,omega);%滤波器的相位响应及坐标值

plot(omega/pi,theta*360/(2*pi),'k');%显示滤波器的相位响应

xlabel('数字频率/\pi');ylabel('相位/度');grid;

程序所得图像:

4、比较脉冲响应不变法与双线性变换法的区别:将两种方法的幅度响应做比较:

clc;

clear all;

Fs=4;

w=0:pi;

[a,b]=butter(1,3.*pi/8,'s');%产生低通滤波器;[a1,b1]=bilinear(a,b,Fs);

[a2,b2]=impinvar(a,b,Fs);

[H1,w]=freqz(a1,b1);

[H2,w]=freqz(a2,b2);

plot(w,abs(H1),w,abs(H2),'r');

xlable('\omega(\pi)');

ylable('|H(e^j\omega)|');

分析所得图形及数据可知,脉冲响应不变法的优点是频率坐标变换是线性的,如不考虑频率混叠现象,用这种方法设计数字滤波器会很好的重现原模拟滤波器的频率响应。另外一个优点是数字滤波器的单位脉冲响应完全模仿模拟滤波器的单位冲激响应,时域逼近好。但其也具有很大的缺点,若抽样频率不高或其它原因将产生混叠失真,不能重现原模拟滤波器频率响应。脉冲响应不变方法设计滤波器在通频带的增益要小但是其阻带频率较高衰减幅度大,滤波性相对较好;双线性变换法在通频带其增益较高但阻带频率高,在实际的应用中可能不能很好地实现滤除噪声的功能。所以,脉冲响应不变法适合低通、带通滤波器设计,不适合高通、带阻滤波器的设计。

脉冲响应不变法一个重要的特点是频率坐标的变换是线性的(ω=ΩT),其缺点是有频谱的周期延拓效应,存在频谱混淆现象。为了克服脉冲响应不变法可能产生的频谱混淆,提出了双线性变换法,它依靠双线性变换式:

s=1-1-z/1+ 1-z, z=1+s/1-s 其中s=σ+jΩ,z=rωj-e,建立起s平面和z平面的单值映射关系,数字频域和模拟频域之间的关系:Ω=tan(ω/2) ,模拟到数字的转换 wp=2πfpT,ws=2πfsT

双线性变换法和脉冲响应不变法相比,主要优点是s平面与z平面之间是单一的一一对应关系,从根本上消除了频谱混叠现象。同时由s域变换到z域时,双线性变换法不需要将模拟滤波器的传递函数进行分解,只需将传递函数中Ha(s)的拉普拉斯算子s用z的函数来代替即可,因此应用应用十分方便简单。但其缺点是模拟频率Ω与数字频率ω之间是非线性关系,这使得幅频特性和相频特性发

生畸变.脉冲响应不变法具有时域模仿特性好的特点,当要求数字滤波器在时域上能模仿模拟滤波器的功能时,采用这种方法。

5、用频率采样法设计FIR滤波器

频率采样法的基本思想是使所设计的FIR数字滤波器的频率特性在某些离散频率点上的值准确的等于所需滤波器在这些频率点处的值,在其他频率处的特性则有较好的逼近。在实际中为了设计的FIR滤波器具有线性相位,单位采样响应函数h(n)是实序列且满足h(n)= (h-1-n),由此得到的幅频和相频特性就是采样值H(k)需要满足的约束条件。而根据频域的采样定理以及FIR数字滤波器的频率特性可知,在每个采样点上,频率响应与理想特性H(k)严格一致,在采样点之间,频率响应由各采样点的内插函数延伸叠加而形成,一次得到的滤波器有一定的逼近误差码,而误差的大小则与理想频率响应的曲线形状有关。理想特性如果平滑,则误差较小;反之则误差较大,并且在理想频率响应的不连续点会产生波纹。

clc;

clear all;

fs=3000;

fp=3050;

Fs=8000;

delta_p=0.1;

delta_s=0.1;

omga_p=2*pi*fp/Fs;%将数字滤波器的参数和模拟滤波器联系起来

omga_s=2*pi*fs/Fs;

K=512;

M=16;

C=floor((omga_p+omga_s)/2/pi*K);

Mag=[ones(1,C),zeros(1,K-C)];

Phi=linspace(0,pi,K)*M/2;

H=Mag.*exp(-1j*Phi);

H=[H,conj(fliplr(H(1:K-1)))];

h0=fftshift(real(ifft(H)));

h=h0(K+M/2-M:K+M/2+M);

plot(h);

figure;

stem(abs(fft(h)));

6、比较两种设计FIR数字滤波器的方法

频率采样法:可以在频域直接设计,而且适合于最优化设计。图像表明对于频率响应只有少数几个非零值采样的窄带选频滤波器特别有效。但是频率抽样点需要符合一定的规律,导致这种方法在规定通带阻带截止频率方面不是非常的灵活。调整截止频率可以发现,当截止频率不是整数倍时会产生较大的逼近误差。

窗函数法:从时域进行设计,窗函数法因为较为简单,物理意义比较清晰而得到了较为广泛的应用。

利用DSP实现数字滤波器

DSP技术及应用课程设计报告课设名称:利用DSP实现数字滤波器 学院:信息工程 专业:通信工程 班级:2012159 学号:201215925 姓名:高亮 辅导老师:李珺陈俊峰 时间:2015年12月29

目录 一.绪论 (1) 1.1设计背景 (1) 1.2设计要求 (1) 1.3设计思路简介 (1) 二.系统开发平台与环境 (1) 1.1CCS开发环境 (1) 三. FIR滤波器设计过程 (2) 3.1FIR滤波器基本理论 . (2) 3.2FIR滤波器的MATLAB实现 (4) 四FIR滤波器的DSP实现 (10) 五.CCS仿真图及结果 (12) 六.总结 (14) 七.参考文献 (15)

一. 绪论 1.1设计背景 在信号处理中,滤波占有十分重要的地位。数字滤波是数字信号处理的基本方法。数字滤波与模拟滤波相比有很多优点,它除了可避免模拟滤波器固有的电压漂移、温度漂移和噪声等问题外,还能满足滤波器对幅度和相位的严格要求。低通有限冲激响应滤波器(低通FIR滤波器)有其独特的优点,因为FIR系统只有零点,因此,系统总是稳定的,而且容易实现线性相位和允许实现多通道滤波器。 DSP(数字信号处理器)与一般的微处理器相比有很大的区别,它所特有的系统结构、指令集合、数据流程方式为解决复杂的数字信号处理问题提供了便利,本文选用TMS320C54X作为DSP处理芯片,通过对其编程来实现FIR滤波器。 对数字滤波器而言,从实现方法上,有FIR滤波器和无限冲激响应(IIR)滤波器之分。由于FIR滤波器只有零点,因此这一类系统不像IIR系统那样易取得比较好的通带与阻带衰减特性。但是FIR系统有自己突出的优点:①系统总是稳定的;②易实现线性相位;③允许设计多通带(阻带)滤波器。其中后两项是IIR系统不易实现的。 1.2设计要求 利用C语言在CCS环境中编写一个FIR滤波器程序,并能利用已设计好的滤波器对常用信号进行滤波处理。 1.3设计思路简介 在TMS320C54x系统开发环境CCS(Code Composer Studio)下对FIR 滤波器的DSP实现原理进行讨论。利用C语言设计相应的滤波器,通过实验仿真,从输入信号和输出信号的时域和频域曲线可看出在DSP上实现的FIR滤波器能完成预定的滤波任务。 二.系统开发平台与环境 1.1 CCS开发环境

基于DSP 的FIR滤波器的设计

基于TMS320VC5416 的FIR 数字滤波器设计与实现 论文摘要:在现代电子系统中,数字滤波器在语音处理、图像处理、模式识别以及各种随机信号分析中有着广泛的应用,且其波形传递系统中都越来越多的要求信道具有线性的相位特性,在这方面FIR滤波器具有独到的优点,它可以在幅度特性随意设计的同时保证精确严格的线性相位。本文以窗函数法设计线性相位FIR数字滤波器为例,研究有限冲击响应(FIR)滤波器的基本原理,介绍用MATLAB工具软件设计数字滤波器的方法和如何在定点TMS320VC5416 DSP芯片上设计实现连续数字滤波器。 关键词:FIR滤波器,TMS320V5416 一. 课题的目的以及意义 随着集成电路技术的发展,各种新型的大规模和超大规模集成电路不断涌现集成电路技术与计算机技术结合在一起,使得对数字信号处理系统功能的要求越来越强。 DSP 技术就是基于VLSI技术和计算机技术发展起来的一门重要技术,DSP 技术已在通信、控制信号处理、仪器仪表、医疗、家电等很多领域得到了越来越广泛的应用.在数字信号处理中数字滤波占有极其重要的地位。数字滤波在语音信号、图象处理模式识别和谱分析等领域中的一个基本的处理技术。数字滤波与模拟滤波相比数字滤波具有很多突出的优点,主要是因为数字滤波器是过滤时间离散信号的数字系统,它可以用软件(计算机程序)或用硬件来实现,而且在两种情况下都可以用来过滤实时信号或

非实时信号。尽管数字滤波器这个名称一直到六十年代中期才出现,但是随着科学技术的发展及计算机的更新普及,数字滤波器有着很好的发展前景。同时它也有完全取代模拟滤波器的时候,原因是数字滤波没有漂移,它能处理低频信号,数字滤波的频率响应特征可做成极接近于理想的特性,它可以做成没有插入损耗和有线性相位特性,可相当简单地获得自适应滤波,滤波器的设计者可以控制数字字长,因而可以精确地控制滤波器的精度,其中的道理是数字滤波随着滤波器参数的改变,很容易改变滤波器的性能。这一特点就能允许我们用一种程序滤波器来完成多重滤波任务。滤波器对幅度和相位特性的严格要求,可以避免模拟滤波器所无法克服的电压漂移、温度漂移和噪声等问题。用可编程DSP芯片实现数字滤波可通过修改滤波器的参数十分方便地改变滤波器的特性,因此我们有必要对滤波器的设计方法进行研究,理解其工作原理优化设计方法,设计开发稳定性好的滤波器系统。我们将通过DSP设计平台来实现较为重要的“FIR和自适应滤波器系统”并实现了它们的应用系统以TMS320VC5416芯片为核心的硬件电路,实现能独立完成滤波功能的系统从而通过本课题的研究。掌握滤波器的设计技术和原理能为在通信领域、信号处理领域等诸多领域中对数字滤波器的设计提供技术和准备。本科题的研究将为今后设计以DSP为核心部件的嵌入式系统集成提供技术准备,这不仅具有重要的理论意义同时还具有重要的现实意义。 二、FIR滤波器的DSP实现的技术指标及性能

数字信号处理习题集(附答案)

第一章数字信号处理概述 简答题: 1.在A/D变换之前和D/A变换之后都要让信号通过一个低通滤波器,它们分别起什么作用? 答:在A/D变化之前为了限制信号的最高频率,使其满足当采样频率一定时,采样频率应大于等于信号最高频率2倍的条件。此滤波器亦称为“抗混叠”滤波器。 在D/A变换之后为了滤除高频延拓谱,以便把抽样保持的阶梯形输出波平滑化,故又称之为“平滑”滤波器。 判断说明题: 2.模拟信号也可以与数字信号一样在计算机上进行数字信号处理,自己要增加一道采样的工序就可以了。 () 答:错。需要增加采样和量化两道工序。 3.一个模拟信号处理系统总可以转换成功能相同的数字系统,然后基于数字信号处理理论,对信号进行等效的数字处理。() 答:受采样频率、有限字长效应的约束,与模拟信号处理系统完全等效的数字系统未必一定能找到。因此数字信号处理系统的分析方法是先对抽样信号及系统进行分析,再考虑幅度量化及实现过程中有限字长所造成的影响。故离散时间信号和系统理论是数字信号处

理的理论基础。 第二章 离散时间信号与系统分析基础 一、连续时间信号取样与取样定理 计算题: 1.过滤限带的模拟数据时,常采用数字滤波器,如图所示,图中T 表示采样周期(假设T 足够小,足以防止混叠效应),把从)()(t y t x 到的整个系统等效为一个模拟滤波器。 (a ) 如果kHz T rad n h 101,8)(=π截止于,求整个系统的截止频 率。 (b ) 对于kHz T 201=,重复(a )的计算。 采样(T) () n h () n x () t x () n y D/A 理想低通T c πω=() t y 解 (a )因为当0)(8=≥ω πωj e H rad 时,在数 — 模变换中 )(1)(1)(T j X T j X T e Y a a j ωω=Ω= 所以)(n h 得截止频率8πω=c 对应于模拟信号的角频率c Ω为 8 π = ΩT c 因此 Hz T f c c 625161 2==Ω= π

IIR数字滤波器在TI DSP上的实现

IIR数字滤波器在TI DSP上的实现 数字滤波器是对数字信号进行滤波处理以得到期望的响应特性的离散时间系统。在众多通用数字信号处理器中,美国TI公司生产的TMS320系列单片DSP 在国际上占有较大市场,这种单片DSP把高速控制器的灵活性和阵列处理器的数值计算能力结合起来。 一、IIR数字滤波器结构原理 本文IIR数字滤波器的设计方法:借助于模拟滤波器的设计方法设计出模拟滤波器,利用冲激响应不变法或双线性变换法转换成数字滤波器,然后用硬件或软件实现。 从IIR数字滤波器的实现来看,有直接型、级联型、并联型等基本网络结构。其中直接Ⅱ型仅需要N级延迟单元,且可作为级联型和并联型结构中的基本单元。本文以二阶IIR滤波器的直接实现形式表示。其系统函数H(z)可以表示为: 在编程时,可以将变量和系数都存在DARAM中,采用循环缓冲区方式寻址,共需开辟4个缓冲区,用来存放变量和系数。 二阶IIR滤波器的直接IIR 型差分方程为: (3) 二、IIR数字滤波器在C54x上的设计与实现 1.IIR数字滤波器在TMS320VC5409 DSP上的实现流程 1.1根据指标确定滤波器的类型,设计出滤波器的参数; 1.2根据DSP的特点(字长、精度等)对参数进行取舍、量化,仿真; 1.3根据仿真结果对滤波器的结构、参数再次进行调整,直到满足要求为止; 1.4在DSP上用语言实现滤波器功能。 2.IIR数字滤波器在TMS320VC5409 DSP上的实现算法 从理论上说,可以用高阶IIR数字滤波器实现良好的滤波效果[2]。但由于DSP本身有限字长和精度的因素,加上IIR滤波器在结构上存在反馈回路,是递归型的,再者高阶滤波器参数的动态范围很大。这样一来造成两个后果:结果溢出和误差增大。解决此问题的有效方法是把高阶IIR数字滤波器简化成几个2阶

dsp滤波要点

数字信号处理 综合设计性实验报告 学院:电子信息工程学院 班级:自动化0706班 电子0701班 指导教师:高海林 学生:张越07213056 陈冠宇07214004 北京交通大学电工电子教学基地 2010年1月20日

利用DSP实现信号滤波 一:实验目的 实现离散信号滤波是DSP的基本功能,本实验中我们尝试实现分别了设计FIR 和IIR滤波器实现低通,高通,带通,带阻四种滤波器对正弦离散信号进行滤波。(1)学会编写滤波程序和输入信号程序。 (2)熟悉CCS集成开发环境,熟悉DSK板的使用。 (3)通过实验比较FIR和IIR在设计上和滤波效果上的区别。 二:FIR滤波器的设计 例:设计一个采样频率Fs为8000Hz,输入信号频率为1000HZ、2500HZ与4000HZ 的合成信号,通过设计FIR滤波器分别实现低通,高通,带通,带阻的滤波功能。 一:实验原理 一个线性位移不变系统的输出序列y(n)和输入序列x(n)之间的关系,应满 足常系数线性差分方程: x(n): 输入序列,y(n): 输出序列,ai、bi : 滤波器系数,N: 滤波器的阶数。在式上式中,若所有的ai 均为0,则得FIR 滤波器的差分方程: 对上式进行z 变换,可得FIR 滤波器的传递函数: FIR 滤波器的结构

FIR 滤波器的单位冲激响应h(n)为有限长序列。 若h(n)为实数,且满足偶对称或奇对称的条件,则FIR 滤波器具有线性相位特性。在数字滤波器中,FIR 滤波器具有如下几个主要特点: ① FIR 滤波器无反馈回路,是一种无条件稳定系统; ② FIR 滤波器可以设计成具有线性相位特性。 本实验程序设计的就是一种偶对称的线性相位滤波器。 程序算法实现采用循环缓冲区法。 二:算法原理: ①在数据存储器中开辟一个N个单元的缓冲区(滑窗),用来存放最新的N个输入样本; ②从最新样本开始取数; ③读完最老样本后,输入最新样本来代替最老样本,而其他数据位置不变; ④用BK 寄存器对缓冲区进行间接寻址,使缓冲区地址首尾相邻。 三:实验程序设计步骤 1.FIR滤波器设计 利用MATLAB中的FDA工具导出正确的参数。 2.产生滤波器输入信号文件 以下是一个产生输入信号的C语言程序,信号是频率为1000Hz、2500Hz和4000Hz 的正弦波合成的波形: #include #include

数字信号处理习题集

一、单项选择题 1.数字信号的特征是( ) A.时间离散、幅值连续 B.时间离散、幅值量化 C.时间连续、幅值量化 D.时间连续、幅值连续 2.若一线性移不变系统当输入为x(n)=δ(n)时,输出为y(n)=R 2(n),则当输入为u(n)-u(n-2)时,输出为( ) A.R 2(n)-R 2(n-2) B.R 2(n)+R 2(n-2) C.R 2(n)-R 2(n-1) D.R 2(n)+R 2(n-1) 3.下列序列中z 变换收敛域包括|z|=∞的是( ) A.u(n+1)-u(n) B.u(n)-u(n-1) C.u(n)-u(n+1) D.u(n)+u(n+1) 4.下列对离散傅里叶变换(DFT )的性质论述中错误的是( ) A.DFT 是一种线性变换 B.DFT 具有隐含周期性 C.DFT 可以看作是序列z 变换在单位圆上的抽样 D.利用DFT 可以对连续信号频谱进行精确分析 5.若序列的长度为M ,要能够由频域抽样信号X(k)恢复原序列,而不发生时域混叠现象,则频域抽样点数N 需满足的条件是( ) A.N ≥M B.N ≤M C.N ≥M/2 D.N ≤M/2 6.基-2 FFT 算法的基本运算单元为( ) A.蝶形运算 B.卷积运算 C.相关运算 D.延时运算 7.以下对有限长单位冲激响应(FIR )滤波器特点的论述中错误的是( ) A.FIR 滤波器容易设计成线性相位特性 B.FIR 滤波器的单位冲激抽样响应h(n)在有限个n 值处不为零 C.系统函数H(z)的极点都在z=0处 D.实现结构只能是非递归结构 8.下列结构中不属于IIR 滤波器基本结构的是( ) A.直接型 B.级联型 C.并联型 D.频率抽样型 9.下列关于用冲激响应不变法设计IIR 滤波器的说法中错误的是( ) A.数字频率与模拟频率之间呈线性关系 B.能将稳定的模拟滤波器映射为一个稳定的数字滤波器 C.使用的变换是s 平面到z 平面的多值映射 D.可以用于设计低通、高通和带阻等各类滤波器 10.离散时间序列x (n )=cos(n 73π-8 π)的周期是( ) A.7 B.14/3 C.14 D.非周期 11.下列系统(其中y(n)是输出序列,x(n)是输入序列)中______属于线性系统。( ) A.y (n )=x 2(n ) B.y (n )=4x (n )+6 C.y (n )=x (n -n 0) D.y (n )=e x (n )

数字滤波器的MATLAB设计与DSP上的实现

数字滤波器的MAT LAB设计与 DSP上的实现 数字滤波器的MATLAB 设计与DSP上的实现 公文易文秘资源网佚名2007-11-15 11:56:42我要投稿添加到百度搜藏 摘要:以窗函数法设计线性相位FIR数字滤波器为例,介绍用MATLAB工具软件设计数字滤波器的方法和在定点DSP上的实现。实现时,先在CCS5000仿真开发,然后将程序加载到TMS320VC5409评估板上实时运行,结果实现了目标要求。文中还讨论了定标、误差、循环寻址等在DSP上实现的关键问题。关键词 摘要:以窗函数法设计线性相位 FIR数字滤波器为例,介绍用 MATLAB工具软件设计数字滤波器的方法和在定点DSP上的实现。实现时,先在 CCS5000仿真开发,然后将程序加载到 TMS320VC5 409评估板上实时运行,结果实现了目标要求。文中还讨论了定标、误差、循环寻址等在DSP上实 现的关键问题。 关键词:数字滤波器MATLAB DSP 引言 随着信息时代和数字世界的到来,数字信号处理已成为今一门极其重要的学科和技术领域。数字信号处理在通信、语音、图像、自动控制、雷达、军事、航空航天、医疗和家用电器等众多领域得到了广泛的应

用。在数字信号处理应用中,数字滤波器十分重要并已获得广泛应用。 1数字滤波器的设计 1.1数字滤波器设计的基本步骤 数字滤波器根据其冲激响应函数的时域特性,可分为两种,即无限长冲激响应(IIR )滤波器和有限长冲激响应(FIR )滤波器。IIR滤波器的特征是,具有无限持续时间冲激响应。种滤波器一般需要用递归模型来实现,因而有时也称之为递归滤波器。FIR滤波器的冲激响应只能延续一定时间, 在工程实际中可以采用递归的方式实现,也可以采用非递归的方式实现。数字滤波器的设计方法有多种,如双线性变换法、窗函数设计法、插值逼近法和Chebyshev逼近法等等。随着 MATLAB软件尤 其是MATLAB的信号处理工作箱的不断完善,不仅数字滤波器的计算机辅助设计有了可能,而且还可以使设计达到最优化。 数字滤波器设计的基本步骤如下: (1确定指标 在设计一个滤波器之前,必须首先根据工程实际的需要确定滤波器的技术指标。在很多实际应用中,数字滤波器常常被用来实现选频操作。因此,指标的形式一般在频域中给岀幅度和相位响应。幅度指标主要以两种方式给岀。第一种是绝对指标。它提供对幅度响应函数的要求,一般应用于FI R滤波器的设计。第二种指标是相对指标。它以分贝值的形式给岀要求。在工程实际中,这种指标最受欢迎。对于相位响应指标形式,通常希望系统在通频带中人有线性相位。运用线性相位响应指标进行滤波器设计具有如下优点:①只包含实数算法,不涉及复数运算;②不存在延迟失真,只有固定数量的延迟;③长度为N的滤波器(阶数为N-1),计算量为N/2数量级。因此,本文中滤波器的设计就以线性相位FIR滤波器的设计为例。 (2)逼近

DSP的FIR设计(低通滤波)C语言编写

一、设计目的 低通滤波器设计。 本设计中使用的信号为 信息信号: signal=sin(2*pi*sl*n*T) 高频噪声1:noise1=0.7*sin(2*pi*ns1*n*T) 高频噪声2:noise2=0.4*sin(2*pi*ns2*n*T) 混合信号: x=(signal+noise1+noise2) 其中sl=500Hz ,ns1=3000Hz ,ns2=8000Hz ,T=1/20000。混合信号波形为滤波器输入信号波形,信息信号波形为输出信号波形,滤波器的效果为滤除两个高频噪声。 二、FIR 滤波器基本理论 (1)FIR 滤波器的特点 数字滤波器的功能,就是把输入序列通过一定的运算变换成输出序列。它的实现方法有很多,其中比较常用到的是无限长脉冲响应滤波器 IIR 和有限长脉冲响应滤波器FIR 两种。 在计算量相等的情况下,IIR 数字滤波器比FIR 滤波器的幅频特性优越,频率选择性也好。但是,它有着致命的缺点,其相位特性不好控制。它的相位特性 )argH( )f(ω ωj e =是使频率产生严重的非线性的原因。但是在图像处理、数据传 输等波形传递系统中都越来越多的要求信道具有线性的相位特性。在这方面 FIR 滤波器具有它独特的优点,设FIR 滤波器单位脉冲响应h(n)长度为N ,其系统函数H(z)为 ∑-=-= 1 )()(N n n z n h z H H(z)是1 -z 的(N-1)次多项式,它在z 平面上有(N-1)个零点,原点z=0是(N-1)阶重极点。因此,H(z)永远稳定,它可以在幅度特性随意设计的同时,保证精确、严格的线性相位。 (2)FIR 滤波器的基本结构 数字滤波是将输入的信号序列,按规定的算法进行处理,从而得到所期望的输出序列,FIR 滤波器的差分方程为: ∑-=-= 1 )()(N k k k n x a n y 对上式进行Z 变换得到FIR 滤波器的传递函数为: ()() () ∑-=-= = 1 N i k k z b z X z Y z H

数字滤波器的DSP实现

摘要 当前我们正处于数字化时代,数字信号处理技术受到了人们的广泛关注,其理论及算法随着计算机技术和微电子技术的发展得到了飞速的发展,被广泛应用于语音图象处理、数字通信、谱分析、模式识别、自动控制等领域。数字滤波器是数字信号处理中最重要的组成部分之一,几乎出现在所有的数字信号处理系统中。数字滤波器是指完成信号滤波处理的功能,用有限精度算法实现的离散时间线性非时变系统,其输入是一组(由模拟信号取样和量化的)数字量,其输出是经过变换的另一组数字量。相对于模拟滤波器,数字滤波器没有漂移,能够处理低频信号,频率响应特性可做成非常接近于理想的特性,且精度可以达到很高,容易集成等,这些优势决定了数字滤波器的应用越来越广泛。同时DSP(数字信号处理器)的出现和FPGA的迅速发展也促进了数字滤波器的发展,并为数字滤波器的硬件实现提供了更多的选择。 本论文的主要研究了数字滤波器的基本理论及其算法。基于TI公司的数字信号处理器TMS320VC5509设计了一款稳定度高,低功耗的数字滤波器系统,并完成了软硬调试工作。主要工作如下: (1)研究了数字滤波器的基本理论,以及数字滤波器的实现方法。通过学习识字滤波器 的结构、数字滤波器的设计理论,掌握了各种数字滤波器的原理和特性。为实现数字滤波器奠定了理论基础。 (2)研究分析了如何利用MATLAB仿真软件来设计出符合各种要求的数字滤波器。并采用 了相关的函数设计了几款常用的数字滤波器,并得到了滤波器的相关系数,为利用DSP实现数字滤波做好了一些前期的工作。 (3)根据TI公司5000系列数字信号处理器的基本结构和特征,充分利用其片上资源t结 合MATLAB软件的仿真,用软件实现高性能稳定的数字滤波器。 关键字:数字滤波器,DSP,IIR(无限长单位脉冲响应),FIR(有限长单位脉冲响应)

dsp-fir滤波器

基于DSP的FIR低通滤波器的设计学号: 电子与控制工程学院

一、 设计要求 通过ICETEK –VC5509-A 评估板实现FIR 滤波功能,将输入的方波信号通过评估板上的AD 模块,滤波模块,以及DA 模块后输出正弦波信号并在示波器上观察方波滤除后所产生的正弦波。在CCS 下编写C 语音和汇编语言程序分别实现滤波器功能,并且比较c 语言和汇编语言的执行速度进行比较。 二、 设计原理 数字信号处理技术的应用领域非常广泛,而数字滤波器的设计是数字信号处理中最重要的设计环节。数字滤波器分为IIR 滤波器和FIR 滤波器。本次课设使用的是FIR 滤波器。 FIR 滤波器即有限长单位响应滤波器,是数字信号处理系统中最基本的原件。可以在保证任意频率特性的同时具有严格的线性相位特性。同时其单位冲激响应是有限长的,因而滤波器是稳定的系统。FIR 滤波器在通信、图像处理、模式识别等领域具有广泛的应用。 在进入FIR 滤波器前,首先要将信号通过A/D 器件进行模数转换,把模拟信号转化为数字信号;为了使信号处理能够不发生失真,信号的采样速度必须满足奈奎斯特定理,一般取信号频率上限的4-5倍做为采样频率;一般可用速度较高的逐次逼进式A/D 转换器,不论采用乘累加方法还是分布式算法设计FIR 滤波器,滤波器输出的数据都是一串序列,要使它能直观地反应出来,还需经过数模转换。 FIR 滤波器误反馈回路,是一种稳定系统,可以设计成具有线性相位特性。设FIR 滤波器的系数为h(0)、h(1)……h(N-1),X(n)表示滤波器在n 时刻的输入,则那时刻的输出为: y(n)=h(0)*x(n)+h(1)*x(n-1)+……+h(N-1)*x[n-(N-1)] FIR 滤波器的差分方程为: ∑-=-=1 )()(N k k k n x a n y 对上式进行Z 变换得到FIR 滤波器的传递函数为: ∑-=-==1 )()z (z N i k K z b z X Y H )(

DSP作业(精)

DSP的 数字信号处理(Digital Signal Processing,简称DSP)是一门涉及许多学科而又广泛应用于许多领域的新兴学科。DSP有两种含义:Digital Signal Processing(数字信号处理)、Digital Sign al Processor(数字信号处理器)。我们常说的DSP指的是数字信号处理器。数字信号处理器是一种适合完成数字信号处理运算的处理器。20世纪60年代以来,随着计算机和信在过去的二十多年时间里,数字信号处理已经在通信等领域得到息技术的飞速发展,数字信号处理技术应运而生并得到迅速的发展。极为广泛的应用。数字信号处理是利用计算机或专用处理设备,以数字形式对信号进行采集、变换、滤波、估值、增强、压缩、识别等处理,以得到符合人们需要的信号形式。 数字信号处理是围绕着数字信号处理的理论、实现和应用等几个方面发展起来的。数字信号处理在理论上的发展推动了数字信号处理应用的发展。反过来,数字信号处理的应用又促进了数字信号处理理论的提高。而数字信号处理的实现则是理论和应用之间的桥梁。数字信号处理是以众多学科为理论基础的,它所涉及的范围极其广泛。例如,在数学领域,微积分、概率统计、随机过程、数值分析等都是数字信号处理的基本工具,与网络理论、信号与系统、控制论、通信理论、故障诊断等也密切相关。近来新兴的一些学科,如人工智能、模式识别、神经网络等,都与数字信号处理密不可分。可以说,数字信

号处理是把许多经典的理论体系作为自己的理论基础,同时又使自己成为一系列新兴学科的理论基础。顾名思义,DSP主要应用在数字信号处理中,目的是为了能够满足实时信号处理的要求,因此需要将数字信号处理中的常用运算执行的尽可能快,这就决定了DSP的特点和关键技术。适合数字信号处理的关键技术:DSP包含乘法器、累加器、特殊地址产生器、领开销循环等;提高处理速度的关键技术:流水线技术、并行处理技术、超常指令(VLIW)、超标量技术、DMA等。从广义上讲,DSP、微处理器和微控制器(单片机)等都属于处理器,可以说DSP是一种CPU。DSP和一般的CPU又不同,最大的区别在于:CPU是冯.诺伊曼结构的;DSP是数据和地址空间分开的哈佛结构。 世界上第一个单片 DSP 芯片应当是1978年 AMI公司发布的 S2 811,1979年美国Intel公司发布的商用可编程器件2920是DSP芯片的一个主要里程碑。这两种芯片内部都没有现代DSP芯片所必须有的单周期乘法器。1980 年,日本 NEC 公司推出的μP D7720是第一个具有乘法器的商用 DSP 芯片。在这之后,最成功的DSP 芯片当数美国德州仪器公司(Texas Instruments,简称TI)的一系列产品。TI 公司在1982年成功推出其第一代 DSP 芯片 TMS32010及其系列产品TMS32011、TMS320C10/C14/C15/C16/C17等,之后相继推出了第二代DSP芯片TMS32020、TMS320C25/C26/C28,第三代DSP芯片TMS 320C30/C31/C32,第四代DSP芯片TMS320C40/C44,第五代 DSP 芯片TMS320C5X/C54X,第二代DSP芯片的改进型TMS320C2XX,集多片DSP芯片于一体的高性能DSP芯片TMS320C8X以及目前速度最快的第

IIR数字滤波器的DSP实现

湖南科技大学 信息与电气工程学院 《课程设计报告》 题目:IIR数字滤波器的DSP实现 专业:电子信息工程 班级:电子二班 姓名:高二奎 学号: 1104030205 指导教师:尹艳群 2015年 1月 8 日

信息与电气工程学院 课程设计任务书 2014—2015学年第一学期 专业:电子信息工程班级:电子二班学号: 1104030205姓名:高二奎 课程设计名称: DSP原理及应用 设计题目: IIR数字滤波器的DSP实现 完成期限:自 2015 年 1 月 1 日至 2015 年 1 月 8 日共 1 周 设计依据、要求及主要内容(可另加附页): 1、设计目的:通过课程设计,使学生综合运用DSP技术课程和其他有关先修课程的理论和生产实际知识去分析和解决具体问题的能力得到提高,并使其所学知识得到进一步巩固、深化和发展。通过课程设计初步培养学生对工程设计的独立工作能力,学习设计的一般方法。通过课程设计树立正确的设计思想,提高学生分析问题、解决问题的能力。通过课程设计训练学生的设计基本技能,如计算、绘图、查阅设计资料和手册,熟悉标准与规范等。 2、要求: 1.熟悉DSP处理器及其结构性能,掌握DSP芯片配套开发工具的使用方法。2.按要求设计出硬件电路。 3.画出硬件连接原理图,并对硬件工作原理进行说明。 4.给出软件流程图及编写程序,每一条指令的后面附上相应的注释。 5.进行软、硬件调试,检查是否达到相关的功能。 6.写出调试方法。 7.设计报告结尾附上心得体会。 3、主要内容:熟悉5410DSP的MCBSP的使用,了解AD50的结构,掌握AD50各寄存器的意义及其设置,掌握AD50与DSP的接口,AD50的通讯格式及AD50的DA实验。 指导教师(签字): 批准日期:年月日

用巴特莱特窗函数法设计数字FIR带通滤波器dsp课程设计

课程设计课程设计名称:数字信号处理课程设计 专业班级:电信 学生姓名: 学号: 指导教师:乔丽红 课程设计时间: 6.16-6.20 电子信息工程专业课程设计任务书

说明:本表由指导教师填写,由教研室主任审核后下达给选题学生,装订在设计(论文)首页 一需求分析和设计内容 数字信号处理是把许多经典的理论体系作为自己的理论基础,同时又使自己成为一系列新兴学科的理论基础。现如今随着电子设备工作频率范围的不断扩大,电磁干扰也越来越严重,接收机接收到的信号也越来越复杂。为了得到所需要频率的信号,

就需要对接收到的信号进行过滤,从而得到所需频率段的信号,这就是滤波器的工作 原理。对于传统的滤波器而言,如果滤波器的输入,输出都是离散时间信号,则该滤 波器的冲激响应也必然是离散的,这样的滤波器定义为数字滤波器。它通过对采样数 据信号进行数学运算来达到频域滤波的目的. 滤波器在功能上可分为四类,即低通(LP )、高通(HP )、带通(BP )、带阻(BS ) 滤波器等,每种又有模拟滤波器(AF )和数字滤波器(DF )两种形式。对数字滤波器, 从实现方法上,具有有限长冲激响应的数字滤波器被称为FIR 滤波器,具有无限长冲 激响应的数字滤波器被称为IIR 滤波器。 FIR 数字滤波器的主要优点有:一、具有严格的线性相位特性;二、不存在稳定性 问题;三、可利用DFT 来实现。这些优点使FIR 数字滤波器得到了广泛应用。窗函数 法是一种设计FIR 数字滤波器的基本方法,但它不是最佳设计方法,在满足同样设计 指标的情况下,用这种方法设计出的滤波器的阶数通常偏大。在窗函数法的基础上, 以所定义的逼近误差最小为准则来进行优化设计的算法,由于其中的逼近误差可根据 不同的设计要求进行定义,故此算法适应性强,它既可用于设计选频型滤波器,又适 用于非选频型滤波器的设计。常用的窗函数有矩形窗函数、巴特莱特窗函数、三角窗 函数、汉宁(Hann )窗函数、海明(Hamming )窗函数、布莱克曼(Blackman )窗函数、 凯塞(Kaiser )窗函数等。本设计通过MATLAB 软件对FIR 型滤波器进行理论上的实现, 利用巴特莱特窗函数设计数字FIR 带通滤波器。FIR 系统不像IIR 系统那样易取得较好 的通带和阻带衰减特性,要取得较好的衰减特性,一般要求H (z )阶次要高,也即M 要大。 FIR 系统有自己突出的优点:系统总是稳定的;易实现线性相位;允许设计多通带(或多 阻带)滤波器,后两项都是IIR 系统不易实现的。FIR 数字滤波器的设计方法有多种, 如窗函数设计法、频率采样法和Chebyshev 逼近法等。随着Matlab 软件尤其是Matlab 的信号处理工作箱的不断完善,不仅数字滤波器的计算机辅助设计有了可能,而且还可 以使设计达到最优化。本实验的数字滤波器的MATLAB 实现是指调用MATLAB 信号处理 工具箱函数filter 对给定的输入信号x(n)进行滤波,得到滤波后的输出信号y(n )。 用巴特莱特窗函数法设计一个数字FIR 带通滤波器,要求通带边界频率为400Hz , 500Hz ,阻带边界频率为350Hz ,550Hz ,通带最大衰减1dB ,阻带最小衰减40dB ,抽样 频率为2000Hz ,用MATLAB 画出幅频特性,画出并分析滤波器传输函数的零极点; 信号)2sin()2sin()()()(2121t f t f t x t x t x ππ+=+=经过该滤波器,其中=1f 450Hz ,

数字信号处理习题集

数字信号处理习题集 第一章习题 1、已知一个5点有限长序列,如图所示,h (n )=R 5(n )。(1)用写出的 ()n δ()x n 函数表达式;(2)求线性卷积*。 ()y n =()x n ()h n 2、已知x (n )=(2n +1)[u (n +2)-u (n -4)],画出x (n )的波形,并画出x (-n )和x (2n )的波形。 3、判断信号是否为周期信号,若是求它的周期。3()sin 7 3x n n π π??=+ ???4、判断下列系统是否为线性的,时不变的,因果的,稳定的? (1),(2)2()(3)y n x n =-0()()cos() y n x n n ω=5、已知连续信号。()2sin(2),3002 a x t ft f Hz π π=+=(1)求信号的周期。 ()a x t (2)用采样间隔T=0.001s 对进行采样,写出采样信号的表达式。()a x t ?()a x t (3)写出对应于的时域离散信号的表达式,并求周期。?()a x t ()x n 6、画出模拟信号数字处理的框图,并说明其中滤波器的作用。

第二章习题 1、求下列序列的傅立叶变换。 (1), (2)11()333n x n n ?? =-≤ ? ?? [] 2()()()n x n a u n u n N =--2、已知理想低通滤波器的频率响应函数为:为整数,000(),0j n j e H e n ωωωωωωπ-?≤≤?=? <≤?? c c 求所对应的单位脉冲响应h (n )。 3、已知理想高通滤波器的频率响应函数为:,求所对应 0()1j H e ω ωωωωπ ?≤≤?=? <≤?? c c 的单位脉冲响应h (n )。 4、已知周期信号的周期为5,主值区间的函数值=,求该周期信号的 ()(1)n n δδ+-离散傅里叶级数和傅里叶变换. 5、已知信号的傅立叶变换为,求下列信号的傅立叶变换。 ()x n ()j X e ω(1) (2)(3)x n -*() x n -6、已知实因果信号如图所示,求和。 ()x n ()e x n ()o x n 7、已知实因果信号的偶分量为{-2,-3,3,4,1,4,3,-3,-2},求信号。 ()x n ()x n 8、已知信号,对信号采样,得到时域采样信号和时()cos(2100),300a s x t t f Hz π==?()a x t 域离散信号x(n),求: (1)写出信号的傅里叶变换. ()a x t

DSP高通滤波器课程设计报告

D S P课程设计报告 题目:FIR高通滤波器设计 姓名 学号 教学院系 专业年级 指导教师

DSP课程设计 目录 一、设计题目 (1) 二、设计目标 (1) 三、算法研究与参数计算 (1) 1、FIR的原理和参数生成公式 (1) 2、利用MATLAB计算滤波系数 (1) 3、输入信号参数计算 (2) 四、编写源程序 (3) 五、调试过程 (4) 1、调试前准备 (5) 2、MATLAB的使用 (5) 3、编写及编译程序 (5) 4、设置断点和探针 (6) 5、打开观察窗口 (6) 六、实验结果及分析 (6) 1、输入信号的时域波形和频域波形 (6) 2、输出信号的时域波形和频域波形 (7) 七、设计心得 (8)

1 一、设计题目 FIR 高通滤波器设计 二、设计目标 设计一个FIR 高通滤波器,通带边界频率为6000Hz ,采样频率为20000Hz 。FIR 滤波器的设计用MA TLAB 窗函数法进行。 三、算法研究与参数计算 1、FIR 的原理和参数生成公式 图3-1 2、利用MATLAB 计算滤波系数 在MATLAB 界面输入图3-2所示程序,可得到滤波系数并生成INC 文件。 图 3-2

DSP 课程设计 2 输入freqz (y ,1,512),MATLAB 中显示高通滤波器的滤波特性曲线。如图3-3所示。 图3-3 3、输入信号参数计算 MATLAB 中输入图3-4中所示程序,包含两种频率成分的正弦信号,一种信号频率1000Hz ,一种信号6000Hz 。 图3-4 其频谱特性曲线如图3-5。 图3-5

FIR高通滤波器设计 四、编写源程序 参考资料,编写汇编语言源程序: HIGHPASS .set 1 ;if you want to use ,please set the value to 1 .global start,fir .mmregs COFF_FIR_START: .sect "coff_fir" .copy "0126.inc" K_FIR_BFFR .set 64 d_data_buffer .usect "fir_bfr",64 FIR_DP .usect "fir_vars",0 d_filin .usect "fir_vars",1 output .usect "fir_vars",1 input .usect "fir_vars",1 d_filout .usect "fir_vars",100h stacksize .set 256 stack .usect "fir_vars",stacksize .asg AR4,FIR_DATA_P .asg AR6,INBUF_P .asg AR7,OUTBUF_P .asg AR3,OUTBUF .asg AR2,INBUF .sect "fir_prog" nop start: stm #stack+stacksize,SP LD #FIR_DP,DP STM #d_data_buffer,FIR_DATA_P RPTZ A,#K_FIR_BFFR-1 STL A,*FIR_DATA_P+ STM #d_filin,INBUF_P 3

基于DSP的数字滤波器的设计与仿真

2.1系统功能介绍 一个实际的应用系统中,总存在各种干扰。数字滤波器在语音信号处理、信号频谱估计、信号去噪、无线通信中的数字变频以及图像信号等各种信号处理中都有广泛的应用,数字滤波器也是使用最为广泛的信号处理算法之一。 在本设计中,使用MATLAB模拟产生合成信号,然后利用CCS进行滤波。设定模拟信号的采样频率为48000Hz,。设计一个FIR低通滤波器,其参数为:滤波器名称:FIR低通滤波器 采样频率:Fs=48000Hz 通带截止频率:15000Hz 阻带截止频率:16000Hz 通带最大衰减:0.1dB 阻带最少衰减:80dB 滤波器系数:由MATLAB根据前述参数求得。 2.2 总体设计方案流程图 图1 总体设计方案

主要内容和步骤 3.1 滤波器原理 对于一个FIR 滤波器系统,它的冲击响应总是又限长的,其系统函数可记为: ()()10 N n n H z h n z --==∑ 其中1N -是FIR 的滤波器的阶数,n z -为延时结,()h n 为端口信号函数。 最基本的FIR 滤波器可用下式表示: ()()()10 N k y n h k x n k -==-∑ 其中()x n k -输入采样序列,()h k 是滤波器系数,N 是滤波器的阶数()Y n 表示滤波器的输出序列,也可以用卷积来表示输出序列()y n 与()x n 、()h n 的关系,如下: ()()()y n x n h n =* 3.2 操作步骤 (1)打开FDATOOL ,根据滤波要求设置滤波器类型、通带截止频率、指定阶数、采样频率等。指定完设计参数后单击按钮Design Filter ,生成滤波器系数。 (2)把生成的滤波器系数传到目标DSP 。选择菜单Targets->Export to Code Composer Studio(tm)IDE ,打开Export to C Header File 对话框,选择C header file ,指定变量名(滤波器阶数和系数向量),输出数据类型可选浮点型或32 b ,16 b 整型等,根据自己安装选择目标板板号和处理器号,单击OK ,保存该头文件,需指定文件名(filtercoeff .h)和路径(保存在c :\ti\myprojects\fir 工程中)。 (3)修改CCS 汇编程序,删掉数据前的所有文字,在开头加上.data ,第二行加coeff .word ,在每行的前面加上.word ,比且把每行的最后的逗号去掉。 (4)编译汇编程序,如果有错误,按错误进行修改;没错误,则往下执行。 (5)加载初始化DATA 数据。运行程序,查看输入输出波形,修改相应参数进行调试

基于DSP芯片的语音信号FIR滤波系统

摘要 数字信号处理(Digital Signal Processing,简称DSP)是一门涉及许多学科而又广泛应用于许多领域的新兴学科。20世纪60年代以来,随着计算机和信息技术的飞速发展,数字信号处理技术应运而生并得到迅速的发展。数字信号处理是一种通过使用数学技巧执行转换或提取信息,来处理现实信号的方法,这些信号由数字序列表示。在过去的二十多年时间里,数字信号处理已经在通信等领域得到极为广泛的应用。 随着微处理技术的快速发展,微处理器芯片的集成度从最早的几千个晶体管发展到现在的上亿个晶体管,字长从4位提高到了64位,正是这些技术的飞速发展引发了一轮又一轮的信息产业革命,而人们的生活、学习和工作方式也在以计算机技术、通信技术为核心的信息技术的影响下发生着前所未有的改变。 然而在这次设计中,比较核心的是数字滤波器,数字滤波器是一种用来过滤时间离散信号的数字系统,通过对抽样数据进行数学处理来达到频域。滤波的目的。根据其单位冲激响应函数的时域特性可分为两类:无限冲激响应(IIR)滤波器和有限冲激响应(FIR)滤波器。与IIR滤波器相比,FIR滤波器只有零点,除原点外.在z平面上没有极点,因此总是稳定的和可实现的;更重要的是,FIR 滤波器在满足一定的对称条件下,可以获得严格的线性相位特性,这一点是IIR 滤波器难以实现的。因此。它在高保真的信号处理.如数字音频、图像处理、数据传输、生物医学等领域得到广泛应用。 对于本设计主要是利用一个DSP芯片来进行语音采集系统的设计,而数字信号处理技术的发展使得采用数字化的方法实时的处理语音信号成为可能。早期的语音信号处理均是采用模拟处理,对声音信号最常用的滤波、相关、谱分析等运算,部分采用模拟电路来实现的。这种传统的模拟方法处理语音信号,硬件设备昂贵,不能升级、产品生命周期短,而采用数字处理技术处理语音信号具有抗干扰性强、便于传输和处理等优点,代表着语音处理技术的发展方向。DSP芯片高速、可编程的特点使其非常适合于语音信号处理领域。 一、设计所用软件介绍 1.1 FIR滤波器及它的工作原理 FIR(Finite Impulse Response)滤波器:有限长单位冲激响应滤波器,又称为非递归型滤波器,是数字信号处理系统中最基本的元件,它可以在保证任意幅频特性的同时具有严格的线性相频特性,同时其单位抽样响应是有限长的,因而滤波器是稳定的系统。因此,FIR滤波器在通信、图像处理、模式识别等领域都有着广泛的应用。 在进入FIR滤波器前,首先要将信号通过A/D器件进行模数转换,把模拟信号转化为数字信号;为了使信号处理能够不发生失真,信号的采样速度必须满足奈奎

相关主题
文本预览
相关文档 最新文档