当前位置:文档之家› 中国石油大学数字电子技术课程设计交通灯控制电路设计报告

中国石油大学数字电子技术课程设计交通灯控制电路设计报告

中国石油大学数字电子技术课程设计交通灯控制电路设计报告
中国石油大学数字电子技术课程设计交通灯控制电路设计报告

2011—2012学年第二学期《数字电子技术课程设计》任务书

(适用专业:电气10级)

题目交通灯控制电路设计

姓名郑长明

学号 10053228

专业班级电气10-2班

开课系室电工电子学教学中心

设计日期 2012年7月5 日~9日

目录

1、设计任务与要求 (2)

2、系统设计总体方案.......................................................................................................

3、各子模块设计 ..............................................................................................................

3.1时钟分频模块................................................................................................................

3.2十进制减法计数器模块 ....................................................................................................

3.3七段数码管显示译码器模块 ............................................................................................

3.4交通灯控制模块 ................................................................................................................

3.5点阵显示模块 ....................................................................................................................

4、仿真测试结果 ..............................................................................................................

5、实习总结与讨论 ..........................................................................................................

一、设计任务与要求:

交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。

要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各四个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通灯的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。

在实验中使用4个七段码管中的任意一个或两个数码管显示时间。东西路和南北路的通车时间均设定为9s。数码管的时间总是显示为9、8、7……2、1、0、9、8……。在显示时间小于等于3秒的时候,通车方向的黄灯闪烁。在东西向绿灯期间,点阵显示自己的姓名;在南北向绿灯期间,点阵显示自己的学号后3位。

扩展要求:将0-9s扩展为0-30s。

二、具体要求:

本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块和七段码管中的任意一个或两个来显示。系统时钟选择时钟模块的50MHz时钟,黄灯闪烁时钟要求为2Hz,七段码管的时间显示为1Hz脉冲,即每1s中递减一次,在显示时间小于等于3秒的时候,通车方向的黄灯以2Hz的频率闪烁。在东西向绿灯期间,点阵显示自己的姓名;在南北向绿灯期间,点阵显示自己的学号后3位。显示方式:1秒显示一个汉字或者一个数字(汉字和数字均静止不动,即不循环),然后全暗1秒,然后再显示一个汉字或者一个数字,以此类推。

实验箱中用到的数字时钟模块、按键开关、数码管、点阵与FPGA的接口电路,以及数字时钟源、按键开关、数码管、点阵与FPGA的管脚连接在以前的实验中都做了详细说明,这里不再赘述。交通灯模块原理与LED灯模块的电路原理一致,当有高电平输入时LED灯就会被点亮,反之不亮。只是LED发出的光有颜色之分。

三、输入输出资源说明:

1、输入信号:按键K1(按下时K1=0,松开时K1=1)。

2、外部输入脉冲信号时钟源CP(50MHz),经适当分频后供计数器使用。

3、输出1组显示译码信号(每组7个输出端),接到外部的两个七段数码管上,显示倒计时;(扩展要求)或输出2组显示译码信号(每组7个输出端),分别接到外部的两个七段数码管M1、M2上,M1和M2分别显示30秒倒计时的十位和个位。

4、输出6个高低电平信号,分别接到外部的6个指示纵向、横向的LED灯。(输出高电平时,对应的LED灯亮)

其具体框图如下:

图1 交通灯控制电路结构框图

根据如上说明,本设计的主要任务和设计要求是:

1、按照现代数字系统的Top-Down模块化设计方法,提出交通灯控制电路设计系统的整体设计方案,并进行正确的功能划分,分别提出并实现控制器、计数器、输出译码、点阵显示等模块化子系统的设计方案。

2、在Quartu sⅡ的EDA设计环境中,完成系统的顶层设计、各子系统的模块化设计。分别完成各个基于Verilog语言实现的子模块(包括控制器电路、计数器电路、输出译码电路、点阵显示电路)的逻辑功能仿真。最后对顶层设计进行功能仿真。

3、在2步的基础上,用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察

实验结果是否与自己的编程思想一致。

二、系统设计总体方案

根据设计要求及原理,我设计的交通灯控制电路包括时钟分频、十进制减法计数器、七段数码管显示、交通灯控制和点阵显示模块组成。 顶层设计方案:

(1)、外部输入脉冲信号时钟源CP (50MHz ),经适当分频后供计数器和译码器及控制器使用。

(2)、输出显示译码信号(7个输出端),显示10秒倒计时9-0s 。

(3)、输出6个高低电平信号,分别接到外部的6个指示纵向、横向的LED 灯。(输出高电平时,对应的LED 灯亮)

总体设计图:

三、各子模块设计

3.1时钟分频模块

通过编程设计分频器产生1khz、2hz、1hz时钟频率,为各模块提供脉冲;verilog 程序如下:

module fp(clk,cp1,cp2,cp3);

input clk;

output cp1,cp2,cp3;

reg [31:0]cout1;

reg [31:0]cout2;

reg [31:0]cout3;

reg cp1,cp2,cp3;

always @(posedge clk)

begin

cout1<=(cout1==32'd49999)?1'd0:(cout1+1'd1);

cp1<=(cout1>=32'd25000)?1'd1:1'd0;

cout2<=(cout2==32'd2*******)?1'd0:(cout2+1'd1);

cp2<=(cout2>=32'd1*******)?1'd1:1'd0;

cout3<=(cout3==32'd4*******)?1'd0:(cout3+1'd1);

cp3<=(cout3>=32'd2*******)?1'd1:1'd0;

end

endmodule

生成模块:

3.2十进制减法计数器模块

为了实现红绿灯时倒计时显示时间:

源程序

module cn10(clk,q);

input clk;

output [3:0]q;

reg [3:0]q;

initial

begin

q=4'b1001;

end

always@(posedge clk)

begin

if(q==4'b0000)q=4'b1001;

end

endmodule

生成模块:

3.3七段数码管显示译码器模块

为了用数码管显示倒计时9-0,程序:module yimaqi(a,q);

input [3:0]a;

output [6:0]q;

reg [6:0]q;

always @(a)

begin

case(a)

4'h0:q='b0000001;

4'h1:q='b1001111;

4'h2:q='b0010010;

4'h3:q='b0000110;

4'h4:q='b1001100;

4'h5:q='b0100100;

4'h6:q='b1100000;

4'h7:q='b0001111;

4'h8:q='b0000000;

4'h9:q='b0000100;

endcase

end

endmodule

生成模块:

3.4交通灯控制模块

module control(clk,r1,y1,g1,r2,y2,g2); input clk;

output r1,y1,g1,r2,y2,g2;

reg r1,y1,g1,r2,y2,g2;

reg [5:0]cout;

always @(posedge clk)

begin

cout<=(cout==6'd39)?1'd0:(cout+1'd1); if(cout>=0&&cout<12)

begin

g1=1;g2=0;r1=0;r2=1;y1=0;y2=0;

end

else if(cout>19&&cout<32)

begin

g1=0;g2=1;r1=1;r2=0;y1=0;y2=0;

end

else if(cout>31&&cout<=39)

begin

g1=0;g2=0;r1=1;r2=0;y1=0;

if(cout%2==0)y2=1;

else y2=0;

end

else

begin

g1=0;g2=0;r1=0;r2=1;y2=0;

if(cout%2==0)y1=1;

else y1=0;

end

end

endmodule

生成模块:

3.5点阵显示模块

为了在2方向绿灯期间实现显示姓名和学号,用点阵扫描显示,1000hz,我用的是逆向扫描,verilog程序如下:

module dianzhen(clk,clk3,row,con);

input clk,clk3;

output[15:0] row;

output[15:0] con;

reg[15:0] row;

reg[15:0] con;

integer cnt,b;

reg[15:0] r[319:0];

initial

begin

//全暗

r[0] <=16'b 1111111111111111;

r[1] <=16'b 1111111111111111;

r[2] <=16'b 1111111111111111;

r[3] <=16'b 1111111111111111;

r[4] <=16'b 1111111111111111;

r[5] <=16'b 1111111111111111;

r[6] <=16'b 1111111111111111;

r[8] <=16'b 1111111111111111; r[9] <=16'b 1111111111111111; r[10] <=16'b 1111111111111111; r[11] <=16'b 1111111111111111; r[12] <=16'b 1111111111111111; r[13] <=16'b 1111111111111111; r[14] <=16'b 1111111111111111; r[15] <=16'b 1111111111111111; //全暗

r[16] <=16'b 1111111111111111; r[17] <=16'b 1111111111111111; r[18] <=16'b 1111111111111111; r[19] <=16'b 1111111111111111; r[20] <=16'b 1111111111111111; r[21] <=16'b 1111111111111111; r[22] <=16'b 1111111111111111; r[23] <=16'b 1111111111111111; r[24] <=16'b 1111111111111111; r[25] <=16'b 1111111111111111; r[26] <=16'b 1111111111111111; r[27] <=16'b 1111111111111111; r[28] <=16'b 1111111111111111; r[29] <=16'b 1111111111111111; r[30] <=16'b 1111111111111111; r[31] <=16'b 1111111111111111;

//全暗

r[32] <=16'b 1111111111111111; r[33] <=16'b 1111111111111111;

r[36] <=16'b 1111111111111111; r[37] <=16'b 1111111111111111; r[38] <=16'b 1111111111111111; r[39] <=16'b 1111111111111111; r[40] <=16'b 1111111111111111; r[41] <=16'b 1111111111111111; r[42] <=16'b 1111111111111111; r[43] <=16'b 1111111111111111; r[44] <=16'b 1111111111111111; r[45] <=16'b 1111111111111111; r[46] <=16'b 1111111111111111; r[47] <=16'b 1111111111111111;

//全暗

r[48] <=16'b 1111111111111111; r[49] <=16'b 1111111111111111; r[50] <=16'b 1111111111111111; r[51] <=16'b 1111111111111111; r[52] <=16'b 1111111111111111; r[53] <=16'b 1111111111111111; r[54] <=16'b 1111111111111111; r[55] <=16'b 1111111111111111; r[56] <=16'b 1111111111111111; r[57] <=16'b 1111111111111111; r[58] <=16'b 1111111111111111; r[59] <=16'b 1111111111111111; r[60] <=16'b 1111111111111111;

r[63] <=16'b 1111111111111111;

//全暗

r[64] <=16'b 1111111111111111;

r[65] <=16'b 1111111111111111;

r[66] <=16'b 1111111111111111;

r[67] <=16'b 1111111111111111;

r[68] <=16'b 1111111111111111;

r[69] <=16'b 1111111111111111;

r[70] <=16'b 1111111111111111;

r[71] <=16'b 1111111111111111;

r[72] <=16'b 1111111111111111;

r[73] <=16'b 1111111111111111;

r[74] <=16'b 1111111111111111;

r[75] <=16'b 1111111111111111;

r[76] <=16'b 1111111111111111;

r[77] <=16'b 1111111111111111;

r[78] <=16'b 1111111111111111;

r[79] <=16'b 1111111111111111;

//8

r[80] <=16'b 1111111111111111; r[81] <=16'b 1111000111000111; r[82] <=16'b 1110111010111011; r[83] <=16'b 1110111101111011; r[84] <=16'b 1110111101111011; r[85] <=16'b 1110111010111011; r[86] <=16'b 1111000111000111;

r[89] <=16'b 1111111111111111; r[90] <=16'b 1111111111111111; r[91] <=16'b 1111111111111111; r[92] <=16'b 1111111111111111; r[93] <=16'b 1111111111111111; r[94] <=16'b 1111111111111111; r[95] <=16'b 1111111111111111; //全暗

r[96] <=16'b 1111111111111111; r[97] <=16'b 1111111111111111; r[98] <=16'b 1111111111111111; r[99] <=16'b 1111111111111111; r[100] <=16'b 1111111111111111; r[101] <=16'b 1111111111111111; r[102] <=16'b 1111111111111111; r[103] <=16'b 1111111111111111; r[104] <=16'b 1111111111111111; r[105] <=16'b 1111111111111111; r[106] <=16'b 1111111111111111; r[107] <=16'b 1111111111111111; r[108] <=16'b 1111111111111111; r[109] <=16'b 1111111111111111; r[110] <=16'b 1111111111111111; r[111] <=16'b 1111111111111111; //2

r[112] <=16'b 1111111111111111; r[113] <=16'b 1111000111110011; r[114] <=16'b 1110000111100011; r[115] <=16'b 1110111111001011;

r[118] <=16'b 1110000001110011; r[119] <=16'b 1111000111110011; r[120] <=16'b 1111111111111111; r[121] <=16'b 1111111111111111; r[122] <=16'b 1111111111111111; r[123] <=16'b 1111111111111111; r[124] <=16'b 1111111111111111; r[125] <=16'b 1111111111111111; r[126] <=16'b 1111111111111111; r[127] <=16'b 1111111111111111; //全暗

r[128] <=16'b 1111111111111111; r[129] <=16'b 1111111111111111; r[130] <=16'b 1111111111111111; r[131] <=16'b 1111111111111111; r[132] <=16'b 1111111111111111; r[133] <=16'b 1111111111111111; r[134] <=16'b 1111111111111111; r[135] <=16'b 1111111111111111; r[136] <=16'b 1111111111111111; r[137] <=16'b 1111111111111111; r[138] <=16'b 1111111111111111; r[139] <=16'b 1111111111111111; r[140] <=16'b 1111111111111111; r[141] <=16'b 1111111111111111; r[142] <=16'b 1111111111111111; r[143] <=16'b 1111111111111111; //2

r[144] <=16'b 1111111111111111;

r[147] <=16'b 1110111111001011; r[148] <=16'b 1110111110011011; r[149] <=16'b 1110111000111011; r[150] <=16'b 1110000001110011; r[151] <=16'b 1111000111110011; r[152] <=16'b 1111111111111111; r[153] <=16'b 1111111111111111; r[154] <=16'b 1111111111111111; r[155] <=16'b 1111111111111111; r[156] <=16'b 1111111111111111; r[157] <=16'b 1111111111111111; r[158] <=16'b 1111111111111111; r[159] <=16'b 1111111111111111;

//全暗

r[160] <=16'b 1111111111111111; r[161] <=16'b 1111111111111111; r[162] <=16'b 1111111111111111; r[163] <=16'b 1111111111111111; r[164] <=16'b 1111111111111111; r[165] <=16'b 1111111111111111; r[166] <=16'b 1111111111111111; r[167] <=16'b 1111111111111111; r[168] <=16'b 1111111111111111; r[169] <=16'b 1111111111111111; r[170] <=16'b 1111111111111111; r[171] <=16'b 1111111111111111; r[172] <=16'b 1111111111111111; r[173] <=16'b 1111111111111111;

//全暗

r[176] <=16'b 1111111111111111; r[177] <=16'b 1111111111111111; r[178] <=16'b 1111111111111111; r[179] <=16'b 1111111111111111; r[180] <=16'b 1111111111111111; r[181] <=16'b 1111111111111111; r[182] <=16'b 1111111111111111; r[183] <=16'b 1111111111111111; r[184] <=16'b 1111111111111111; r[185] <=16'b 1111111111111111; r[186] <=16'b 1111111111111111; r[187] <=16'b 1111111111111111; r[188] <=16'b 1111111111111111; r[189] <=16'b 1111111111111111; r[190] <=16'b 1111111111111111; r[191] <=16'b 1111111111111111; // 全暗

r[192] <=16'b 1111111111111111; r[193] <=16'b 1111111111111111; r[194] <=16'b 1111111111111111; r[195] <=16'b 1111111111111111; r[196] <=16'b 1111111111111111; r[197] <=16'b 1111111111111111; r[198] <=16'b 1111111111111111; r[199] <=16'b 1111111111111111; r[200] <=16'b 1111111111111111; r[201] <=16'b 1111111111111111; r[202] <=16'b 1111111111111111;

r[205] <=16'b 1111111111111111; r[206] <=16'b 1111111111111111; r[207] <=16'b 1111111111111111;

//全暗

r[208] <=16'b 1111111111111111; r[209] <=16'b 1111111111111111; r[210] <=16'b 1111111111111111; r[211] <=16'b 1111111111111111; r[212] <=16'b 1111111111111111; r[213] <=16'b 1111111111111111; r[214] <=16'b 1111111111111111; r[215] <=16'b 1111111111111111; r[216] <=16'b 1111111111111111; r[217] <=16'b 1111111111111111; r[218] <=16'b 1111111111111111; r[219] <=16'b 1111111111111111; r[220] <=16'b 1111111111111111; r[221] <=16'b 1111111111111111; r[222] <=16'b 1111111111111111; r[223] <=16'b 1111111111111111;

//全暗

r[224] <=16'b 1111111111111111; r[225] <=16'b 1111111111111111; r[226] <=16'b 1111111111111111; r[227] <=16'b 1111111111111111; r[228] <=16'b 1111111111111111;

r[231] <=16'b 1111111111111111; r[232] <=16'b 1111111111111111; r[233] <=16'b 1111111111111111; r[234] <=16'b 1111111111111111; r[235] <=16'b 1111111111111111; r[236] <=16'b 1111111111111111; r[237] <=16'b 1111111111111111; r[238] <=16'b 1111111111111111; r[239] <=16'b 1111111111111111; //明

r[240] <=16'b 1111111111111111; r[241] <=16'b 1000000000111111; r[242] <=16'b 1011101110111111; r[243] <=16'b 1011101110111111; r[244] <=16'b 1011101110111111; r[245] <=16'b 1000000000111101; r[246] <=16'b 1111111111111011; r[247] <=16'b 1111111111100111; r[248] <=16'b 0000000000011111; r[249] <=16'b 0111011101111111; r[250] <=16'b 0111011101111111; r[251] <=16'b 0111011101111101; r[252] <=16'b 0111011101111110; r[253] <=16'b 0000000000000001; r[254] <=16'b 1111111111111111; r[255] <=16'b 1111111111111111;

//暗

r[256] <=16'b 1111111111111111;

r[259] <=16'b 1111111111111111; r[260] <=16'b 1111111111111111; r[261] <=16'b 1111111111111111; r[262] <=16'b 1111111111111111; r[263] <=16'b 1111111111111111; r[264] <=16'b 1111111111111111; r[265] <=16'b 1111111111111111; r[266] <=16'b 1111111111111111; r[267] <=16'b 1111111111111111; r[268] <=16'b 1111111111111111; r[269] <=16'b 1111111111111111; r[270] <=16'b 1111111111111111; r[271] <=16'b 1111111111111111;

//长

r[272] <=16'b 1111111011111111; r[273] <=16'b 1111111011111111; r[274] <=16'b 1111111011111111; r[275] <=16'b 1111111011111111; r[276] <=16'b 0000000000000000; r[277] <=16'b 1111101011111101; r[278] <=16'b 1111101001111011; r[279] <=16'b 1111011010110111; r[280] <=16'b 1111011011011111; r[281] <=16'b 1110111011101111; r[282] <=16'b 1101111011110111; r[283] <=16'b 1011111011110011; r[284] <=16'b 1111111011111001;

r[287] <=16'b 1111111111111111;

//暗

r[288] <=16'b 1111111111111111; r[289] <=16'b 1111111111111111; r[290] <=16'b 1111111111111111; r[291] <=16'b 1111111111111111; r[292] <=16'b 1111111111111111; r[293] <=16'b 1111111111111111; r[294] <=16'b 1111111111111111; r[295] <=16'b 1111111111111111; r[296] <=16'b 1111111111111111; r[297] <=16'b 1111111111111111; r[298] <=16'b 1111111111111111; r[299] <=16'b 1111111111111111; r[300] <=16'b 1111111111111111; r[301] <=16'b 1111111111111111; r[302] <=16'b 1111111111111111; r[303] <=16'b 1111111111111111; //郑

r[304] <=16'b 1111111011111011; r[305] <=16'b 0111011011111011; r[306] <=16'b 1001011011110111; r[307] <=16'b 1111011011001111; r[308] <=16'b 1110000000111111; r[309] <=16'b 1101011010111111; r[310] <=16'b 0011011011011111; r[311] <=16'b 1111011011100111; r[312] <=16'b 1111011011111111;

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

数字电路课程设计交通灯

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级: 2011级<1>班 姓名:陈 学号: 201103061 成绩: 指导老师:李海霞 开课时间: 2012-2013 学年二学期

一、设计题目 交通信号灯控制器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (一)、交通灯信号控制器仿真设计 设计要求 (1)设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为35s。时间可 设置修改。 (2)在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 (3)黄灯亮时,要求每秒闪亮一次。 (4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 (5)假定+5V电源给定。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写

五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

微机原理课程设计报告交通灯

WORD格式微机原理课程设计 设计题目交通灯的设计 实验课程名称微机原理 姓名王培培 学号080309069 专业09自动化班级2 指导教师张朝龙 开课学期2011至2012学年上学期

一、实验设计方案 实验名称:交通灯的设计实验时间:2011/12/23 小组合作:是□否?小组成员:无 1、实验目的: 分析实际的十字路口交通灯的亮灭过程,用实验箱上的8255实现交通灯的控制。(红,黄,绿三色灯) 2、实验设备及材料: 微机原理和接口技术实验室的实验箱和电脑设备等。 3、理论依据: 此设计是通过并行接口芯片8255A和8086计算机的硬件连接,以及通过8253延时的方法,来实现十字路口交通灯的模拟控制。 如硬件连接图所示(在后),红灯(RLED),黄灯(YLEDD)和绿灯(GLED)分别接在8255 的A,B,C口的低四位端口,PA0,PA1,PA2,PA3分别接1,2,3,4(南东北西)路口的红灯,B,C口类推。8086工作在最小模式,低八位端口AD0~AD7接到8255和8253的D0~D7,AD8~AD15通过地址锁存器8282,接到三八译码器,译码后分别连到8255和8253的CS片选端。8253的 三个门控端接+5V,CLOCK0接由分频器产生的1MHZ的时钟脉冲,OUT0接到CLOCK1和CLOCK,2 OUT1接到8086的AD18,8086通过检测此端口是否有高电平来判断是否30s定时到。OUT2产生 1MHZ方波通过或门和8255的B口共同控制黄灯的闪烁。8255三个口全部工作在方式0既基本 输入输出方式,红绿灯的转换由软件编程实现。

4、实验方法步骤及注意事项: ○1设计思路 红,黄,绿灯可分别接在8255的A口,B口和C口上,灯的亮灭可直接由8086输出0,1 控制。 设8253各口地址分别为:设8253基地址即通道0地址为04A0H,通道1为04A2H,通道2 为04A4H,命令控制口为04A6H。 黄灯闪烁的频率为1HZ,所以想到由8253产生一个1HZ的方波,8255控制或门打开的时 间,在或门打开的时间内,8253将方波信号输入或门使黄灯闪烁。 由于计数值最大为65535,1MHZ/65536的值远大于2HZ,所以采用两个计数器级联的方 式,8253通道0的clock0输入由分频器产生的1MHZ时钟脉冲,工作在方式3即方波发生器方 式,理论设计输出周期为0.01s的方波。1MHZ的时钟脉冲其重复周期为T=1/1MHZ=1s,因此 通道0的计数初值为10000=2710H。由此方波分别作为clock1和clock2的输入时钟脉冲,所以 通道1和通道2的输入时钟频率为100HZ,通道1作计数器工作在方式1,计数初值3000=BB8H 既30s,计数到则输出一个高电平到8255的PA7口,8255将A口数据输入到8086,8086检测 到高电平既完成30s定时。通道2工作在方式3需输出一个1HZ的方波,通过一个或门和8086 共同控制黄灯的闪烁,因此也是工作在方波发生器方式,其计数初值为100=64H,将黄灯的状态 反馈到8055的端口PB7和PC7,同样输入到8086,8086通过两次检测端口状态可知黄灯的状态 变化,计9次状态变化可完成5次闪烁。 三个通道的门控信号都未用,均接+5V即可。 ○ 2硬件原理及电路图 由于8255A与8086CPU是以低八位数据线相连接的,所以应该是8255A的A1、A 0 线分别与 8086CPU的A2、A线相连,而将8086的 1 A 0 线作为选通信号。如果是按8255A内部地址来看, 则在图中它的地址是PA口地址即(CS+000H),PB口地址为(CS+001H),PC口地址为(CS+002H),

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

交通灯控制逻辑电路设计实验报告

《数字设计》课程实验报告 实验名称:交通灯控制逻辑电路的设计与仿真实现 学员:学号: 培养类型:年级: 专业:所属学院: 指导教员:职称: 实验室:实验日期:

交通灯控制逻辑电路的设计与仿真实现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。 在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试。接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试。最后完成定时电路的设计与调试。整合电路,形成整个系统完整的电路,统调测试结果。

交通灯设计报告

设计要求 (1)在十字路口的两个方向上各设一组红灯、绿灯、黄灯,显示顺序为:其中一个方向是绿灯、黄灯、红灯,另一个方向是红灯、黄灯、绿灯。 (2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别为20s、5s、25s。 (3)当各条路中任意一条上出现特殊情况,例如有消防车、救护车或其他需要优先放行的车辆时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁。当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。 设计原理及框图 交通灯控制系统的原理框图如图1所示,它主要由秒脉冲发生器,时间显示器,倒计时计数器,计数控制器,交通灯控制器,交通显示灯,紧急开关构成。秒脉冲发生器是该系统中定时器的标准时钟信号源,同时控制着正常工作时黄灯与特殊情况下数码管数字的闪烁,倒计时计数器控制器控制倒计时计数器,倒计时计数器输出的数字经过时间显示器显示在数码管上。交通控制器控制交通显示灯的亮灭,交通控制灯的输入信号由紧急开关和倒计时计数器共同提供。 图一:交通灯控制系统的原理框图

状态1 甲车道黄灯亮 乙车道红灯亮 OO O 两方向车道的交通灯的运行状态共有 4种,如图2所 示,它们转换到数子状 态如下图。 TF:表示甲车道或乙车道红灯亮的时间间隔为 25秒,当TF=0时,甲车道为 红灯,25秒倒计时;当TF=1时,乙车道为红灯,25秒倒计时。 TS:表示倒计时到5秒和20秒。TY=0倒计时20秒,否则,TY=1倒计时5秒 一般十字路口的交通灯控制系统的工作过程如下: (1)甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车 道禁止 通行。此时TF=O,TS=0绿灯亮足规定的时间隔20s ,倒计时计数器发出状态转 换信号使TS=1,使计数控制器使TS=1转到下一工作状态。 (2) 甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止 通 行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔 5s 时,倒计时计数器发出状态转换信号使 TF=1, TS=O,使控制器控制译码器 转到下一工作状态。 (3) 甲车道红灯亮,乙车道绿灯亮。表示甲车道禁止通行,乙车道上的车 辆允许通行,绿灯亮足规定的时间间隔 20s 时,倒计时计数器发出状态转换信 号使TS=1,使控制器控制译码器转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停 车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通 行。黄灯亮足规定的时间间隔5s 时,倒计时计数器发出状态转换信号使 TF=0,TS=0,使计数控制器转到下一工作状态,即系统又转换到第(1)种工作 状态。 因为在上述转换过程中灯的转换只在计数器为零的时候发生且不存在竞争 冒险的问题,所以可设计为当计数器为 00时即发生信号灯的转换,当信号灯 甲车道绿灯亮 乙车道红灯亮 000 以态2 甲车道红灯亮 乙车道碌灯亮 2- 图 ? 00 r 状态3 甲车直红*1亮 乙车道就侯 TS=O TS=1 TF=0 T 状态0 状态1 状态2 状态3

基于PLC的智能交通灯控制系统设计 开题报告

南京师范大学中北学院
毕业设计(论文)开题报告
( 10 届)
题 目: 基于 PLC 智能交通灯控制系统设计
专 业: 电气工程及其自动化
姓 名: xxx 学 号: xxx
指导教师: xxx 职 称:
填写日期:
2014 年 2 月 20 日
南京师范大学中北学院教务处 制
开题报告填写要求
1.开题报告作为毕业设计(论文)答辩委员会对学生答辩资格

审查的依据材料之一。此报告应在指导教师指导下,由学生在毕业 设计(论文)工作前期内完成,经指导教师签署意见及院、系审查 后生效;
2.开题报告内容必须用黑墨水笔工整书写或按教务处统一设计 的电子文档标准格式(可从教务处网址上下载)打印,禁止打印在 其它纸上后剪贴,完成后应及时交给指导教师签署意见;
3.有关年月日等日期的填写,应当按照国标 GB/T 7408—94《数 据元和交换格式、信息交换、日期和时间表示法》规定的要求,一 律用阿拉伯数字书写。如“2011 年 4 月 26 日”或“2011-04-26”。
4.院系审查意见栏签章:自办专业盖中北学院教学院长签名章、 中北学院公章,非自办专业盖联办二级学院教学院长签名章、联办 二级学院公章。

毕 业 设 计(论 文)开 题 报 告
1.本课题的目的及研究意义:
随着我国交通事业的迅速发展,各种公交、运输汽车、私家车等车的急速增加,使 得城市道路交通日益堵塞,交通在许多城市已经成为“瓶颈”问题。因此,提高城市路 网的通行能力、实现道路交通的科学化管理迫在眉睫。
虽然各城市已在十字路口设置了交通灯,对交通进行了有效的疏通,但是随着社会、 经济的快速发展,原先的交通灯控制系统已经不能适应现在日益繁忙的交通状况。如何 改善交通灯控制系统,使其适应现在的交通状况,成为研究的课题。
传统的十字路口交通控制灯,通常的做法是:事先进行车流量的调查,运用统计的 方法将两个方向红绿灯的延时预先设置好。然而,实际上车辆流量的变化往往是不确定 的,有的路口在不同的时段甚至可能产生很大的差异。即使是经过长期运行、较适用的 方案,仍然会发生这样的现象:绿灯方向几乎没有什么车辆,而红灯方向却排着长队等 候通过。可见,统计的方法已不能适应迅猛发展的交通现状,更为现实的需要是:能有 一种能够根据车流量变化适时调节的交通灯控制系统。
我所要研究的就是基于 PLC 的智能交通灯控制系统。智能交通系统(ITS—— Intelligent Transport Systems)ITS 是一个跨学科、信息化、系统化的综合研究体系, 其主要内容是:将先进的人工智能技术、自动控制技术、计算机技术、信息与通讯技术 及电子传感技术等有效的集成,并应用于整个地面交通管理系统而建立的一种在大范围 内、全方位发挥作用的,实时、准确、高效的综合交通运输管理系统。由于交通系统具 有较强的非线性、模糊性和不确定性,是一个典型的分布式非线性系统,而且具有多种 信息来源、多传感器的特点,用传统的理论与方法很难对其进行有效的控制。把先进的 智能控制技术、信息融合技术、智能信息处理技术与交通管理技术结合起来,代表着城 市交通信号控制系统发展的方向。
智能交通的发展是现代社会经济发展的客观要求,交通运输是国民经济和现代社会 发展的基础。由于现代社会城市化速度越来越快、国民经济的高速增长、全球经济的一 体化进程加快、个人旅行与休闲时间的不断增加以及人们对交通需求越来越高,智能交 通便成为现代社会经济发展的客观要求。

交通灯控制电路设计.doc

百度文库 长沙学院 电子技术 课程设计说明书 题目交通灯控制电路设计 系( 部) 电子信息与电气工程系 专业 ( 班级 ) 电气工程及其自动化 姓名龙欣 学号B214 指导教师张海涛 起止日期 电子技术课程设计任务书(27) 系(部):电子信息与电气工程系专业:电气工程及其自动化指导教师:张海涛课题名称 交通灯控制电路设计

百度文库 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行; 绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的 自动指挥对城市交通管理现代化有着重要的意义。 设设计要求: 1.掌握交通灯控制电路的设计、组装与调试方法。 计 2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。 内 设计任务: 容 1.用红、绿、黄三色发光二极管作信号灯。 及 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红 要 灯。 求 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计 30s 和20s 计时 显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,以使行驶中 的车辆有时间停到禁止线以外,设置5s 计时显示电路。 1、系统整体设计; 设 2、系统设计及仿真; 计 3、在 Multisim 或同类型电路设计软件中进行仿真并进行演示; 工 作 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,量参考文献、设计总结等。 起止日期(或时间量)设计内容(或预期目标)备注 进 第一天课题介绍,答疑,收集材料 第二天设计方案论证 度 安第三天进行具体设计 排 第四天进行具体设计 第五天编写设计说明书 指导老师 月教研室 年日 意见意见 年月日 长沙学院课程设计鉴定表 姓名龙欣学号B214专业电气班级 2

数电课程设计交通灯

数字电路课程设计报告书 系部名称:电子工程学院 学生姓名: 专业名称:微电子 班级: 实习时间: 题目:交通灯控制器

一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用,锻炼自己的动手能力和实际解决问题的能力。 2.深入了解交通灯的工作原理。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。 2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 三使用元件 器件型号数量器件型号数量 161 2 LED 6 08 2 电阻4.7K 1 04 3 电阻150K 1 00 1 电阻100欧姆 1 48 2 电容4.7uF 1 74 1 电容103 1 555 1 数码管 2 面包板 1 斜口钳 1 四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒

脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。 2.分析系统的状态变化,列出状态转换表: (1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。 (2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。 主控部分 秒脉冲发生 器 交通灯 倒计时控制部分 数码管显示 反馈 控制

单片机设计方案——交通灯控制系统设计方案

单片机课程设计报告交通灯控制系统设计

摘要 本设计是针对交通灯系统的设计,由单片机AT89C51(实物用AT89S52)、键盘、LED显示、交通灯演示系统组成。单片机是把微型计算机的各功能部件集成在一块芯片中,构成的一个完整的微型计算机。AT89C51单片机是MC-51中的子系列,是一组高性能兼容型单片机,AT89C51是一个低功耗高性能的CMOS 8位单片机,40个引脚,片内含4KB Flash ROM和128B RAM,它是一个全双工的串行通行口,既可以用常规编程,又可以在线编程。 本设计中的数码管的选通采用的方法是动态显示,对每一位数码分时轮流通电显示,复位电路采用上电+按钮电平复位,时钟电路采用内部时钟产生方式。对特殊情况的处理采用中断处理方式,在中断处理程序中采用对管脚的状态查询扫描,已采取相应情况的处理。 对设计方案进行电路硬件设计,并将已编程的程序载入调试,可以得到理想的实验效果。系统包括人行道、左转、右转、以及基本的交通灯的功能.具体功能是假如 A 道和B道上均有车辆要求通过时,A、B道轮流放行。A道放行 25秒,B道放行20秒。一道有车而另一道无车,交通灯控制系统能立即让有车道放行。有紧急车辆要求通过时,系统要能禁止普通车辆通行,A、B道均为红灯,紧急车由K2 开关模拟。绿灯转换为红灯时黄灯亮 1秒钟。系统除基本交通灯功能外,还具有倒计时、时间设置、紧急情况处理、分时段调整信号灯的点亮时间以及根据具体情况手动控制等功能。

目录 1引言.......................................................................................................................................... - 1 - 1.1交通灯的重要作用........................................................................................................... - 1 -1.2该交通灯系统的特点....................................................................................................... - 1 -2系统总体方案及硬件设计 ......................................................................................................... - 2 - 2.1原理框图........................................................................................................................... - 2 -2.2设计功能........................................................................................................................... - 2 - 2.3交通灯控制系统各部分硬件组成................................................................................... - 2 - 2.3.1复位部分.................................................................................................................... - 2 - 2.3.2时钟电路部分............................................................................................................ - 3 - 2.3.3路口指示灯部分........................................................................................................ - 3 - 2.3.4显示部分.................................................................................................................... - 3 -2.4元器件清单....................................................................................................................... - 4 -3软件设计..................................................................................................................................... - 5 - 3.1交通灯控制系统软件流程图及程序分析....................................................................... - 5 - 3.1.1主程序流程图及程序模设计.................................................................................... - 5 - 3.1.2INT0中断服务程序流程图及程序模设计.............................................................. - 6 -3.2路口指示灯部分............................................................................................................... - 7 - 3.3显示部分........................................................................................................................... - 7 - 4. Proteus软件仿真 ..................................................................................................................... - 8 - 5 课程设计体会......................................................................................................................... - 10 -参考文献....................................................................................................................................... - 10 -附1:源程序代码 (13) 附2:系统原理图 (20)

交通灯电路设计

第三章数字电路课程设计 课程设计1:交通灯逻辑控制电路设计 一、简述: 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。交通灯控制电路的系统框图如图3.1.1所示: 图3.1.1 交通灯控制器系统框图 二、设计任务和要求 设计一个十字路口交通信号灯控制器。基本要求如下: 1.满足图3.1.2顺序工作流程。图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。它们的工作方式有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄红灯亮。 2.应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。时序工作流程图3.1.3所示。图3.1.3中,假设每个单位时间为3秒,则南北、东西方向绿、黄、红灯亮时间分别15秒、3秒、18秒,一次循环为36秒。其中红灯亮

的时间为绿灯、黄灯亮的时间之和。 图3.1.3 交通灯时序工作流程图 3.十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到绿灯灭为止;当黄灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到黄灯灭为止;当红灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到红灯灭为止。例如:假设每个单位时间为3 秒,当南北方向从红灯转换成绿灯时,置南北方向数字显示为0,并使数显计数器开始加“1”计数,当加到绿灯灭而黄灯亮时,数显的值应从14跳回到0,同时黄灯亮,黄灯计数,当数显值从2跳到0时,此时黄灯灭,而南北方向的红灯亮;红灯计数加“1”计数,当加到红灯灭时,数显的值应从17跳回到0。同时,使得东西方向的绿灯亮,并置东西方向开始计数。 4.扩展功能: (1)用LED 发光二极管模拟汽车行驶电路。当某一方向绿灯亮时,这一方向的1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 NSG t

数字电路交通灯设计说明

WuYi University 数电课程设计 题目:两路交通灯设计 院系:电子工程系 专业:电子信息工程 班级:电信(2)班 学号: 20094082041 学生:周磊 指导教师:有机

2011年6月 两路交通灯设计 摘要 本文主要对此次数电开放实验进行总结性报告,罗列了电路的电路原理与流程图、硬件结构设计、焊接与调试。对于出现的问题进行解释说明其一系列后续工作的介绍。 Abstract In this paper, the number of power open to this experiment summary report, a list of the circuit schematic and flow diagram, hardware design, welding and debugging. For the problems to explain a series of follow-up work on its introduction. 1.绪论 交通信号灯与我们的生活紧密相连,设计交通灯不仅具有实用性,还加深了本人对数字电路理论知识的理解。通过这次动手实验,死板的课本知识就融入到动手能力中去了。 《数字电路》是一门发展迅速,实践性很强的电子技术专业基础课程。由于数字电子技术具有很强的灵活性,我们的日常生活已经越来越离不开它了。用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。现代的数字电路由半导体工艺制成的若干数字集成器件构造而成。逻辑门是数字逻辑电路的基本单元。存储器是用来存储二值数据的数字电路。从整体上看,数字电路可以分为组合逻辑电路和时序逻辑电路两大类。数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。但其发展比模拟电路发展的更快。从60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件。随后发展到中规模逻辑器件;70年代末,微处理器的出现,使数字集成电路的性能产生质的飞跃。 由于数字电路技术的逻辑性很强,用它来设计交通灯的控制系统很容易实现。只要数字集成块在一定围输入,都能得到确定的输出,调试起来也比较容,电路的工作状态会比较稳定。 这次的设计就是通过一些基本的数字芯片组合来实现对十字路口交通等的六个不同信号灯的控制,另外还加以倒计时数码管显示。以做到十字路口信号灯的仿真模型,这个电路的设计看似较为复杂,其实就是一些基本的数字电路组成。只要将整个电路的基本方向确定下来,画出电路流程图,在对各项功能进行设计,一步步突破,最后进行整理总结。

单片机课程设计报告 - 十字路口交通灯控制

宁夏大学新华学院课程考核

绪论 主要内容: 随着各种交通工具的发展和交通指挥的需要,第一盏名副其实的三色灯(红、黄、绿三种标志)于1918年诞生。它是三色圆形四面投影器,被安装在纽约市五号街的一座高塔上,由于它的诞生,使城市交通大为改善。黄色信号灯的发明者是我国的胡汝鼎,他怀着“科学救国”的抱负到美国深造,在大发明家爱迪生为董事长的美国通用电器公司任职员。一天,他站在繁华的十字路口等待绿灯信号,当他看到红灯而正要过去时,一辆转弯的汽车呼地一声擦身而过,吓了他一身冷汗。回到宿舍,他反复琢磨,终于想到在红、绿灯中间再加上一个黄色信号灯,提醒人们注意危险。他的建议立即得到有关方面的肯定。于是红、黄、绿三色信号灯即以一个完整的指挥信号家族,遍及全世界陆、海、空交通领域了。 交通灯控制器设计主要功能是用单片机控制LED灯模拟指示。模拟东西南北方向的十字路口交通灯信号控制情况。以89C51单片机为核心芯片,采用中断方式实现控制。本模拟系统由单片机硬/软件系统,两位8段数码管和LED灯显示系统。和复位电路控制电路等组成,较好的模拟了交通路面的控制。

基本要求: 利用单片机的定时器产生秒信号,控制十字路口的红、绿、黄灯交替点亮和熄灭,并且用4只LED数码管显示十字路口两个方向的剩余时间。要求能用按键设置两个方向的通行时间(绿、红等点亮的时间)和暂缓通行时间(黄灯点亮的时间)。 系统的工作应符合一般交通灯控制的要求。 参考文献: [1] 张毅刚,彭喜元编著.《单片机原理与应用设计》 [2] 郭天祥编著.《新概念51单片机C语言教程:入门、提高、开发、拓展全攻略》 [3]编写本课程设计内容的软件设计(包含程序流程图和对程序注释)。 [4]硬件实验部分可选用实验箱测试或Proteus仿真软件实现。

交通灯控制系统课程设计

2011年至2012年第1学期《单片机原理与应用》课程设计 班级1006402 指导教师涂立旎 学生人数___ _3__ ___ 设计份数 1 2011年12月23日

城市交通灯控制系统设计报告 1006402-42 流溪 1006402-24钱升 1006402-06毛运鹏 一.设计时间 2012年12月19日——2011年12月23日 二.设计地点 一实验楼401机房 三.设计小组及成员分工 1006402-42 流溪(组长,编写及调试程序) 1006402-24 钱升(负责设计报告的书写及资料整理) 1006402-06 毛运鹏(原理图、流程图设计) 四.指导老师 涂立老师,旎老师 五.设计题目 基于51型单片机的城市交通灯控制系统 六.设计容及目标 1.该交通灯系统的设计容 本设计是基于AT89S51单片机的十字路口交通灯控制系统,利用6个发光二极管模拟交通灯。按照时间控制原则,利用并行接口和定时器,采用时间中断方式设计一套十字路口的交通灯管理系统,通行时间(或禁止时间)30秒,准备时间3秒,在准备时间里黄灯闪烁3次,闪烁频率为0.5秒,周而复始。 2.该交通灯系统的设计目标 本系统结构简单,操作方便;可实现自动控制,具有一定的智能性;对优化城市交通具有一定的意义。本设计将各任务进行细分包装,使各任务保持相对独立;能有效改善程序结构,便于模块化处理,使程序的可读性、可维护性和可移植性都得到进一步的提高。

七.流程图与原理图及部分说明 1.程序流程图如图1所示: 图1. 程序流程图 2.主程序流程图步骤说明: (1)东西方向车道红灯亮,南北方向车道绿灯亮。表示东西方向车道上的车辆禁止通行,南北方向车道允许通行。绿灯亮足规定的时间隔时,控制器发出状态信号,转到下一工作状态。

交通灯控制电路设计与仿真

交通灯控制电路设计与仿真 一、实验目的 1、了解交通灯的燃灭规律。 2、了解交通灯控制器的工作原理。 3、熟悉 VHDL 语言编程,了解实际设计中的优化方案。 二、实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 在实验中使用 8 个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时间均设定为 20s。数码管的时间总是显示为 19、18、17……2、1、0、19、18……。在显示时间小于 3 秒的时候,通车方向的黄灯闪烁。 三、实验内容 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz脉冲,即每 1s 中递 减一次,在显示时间小于 3 秒的时候,通车方向的黄灯以 2Hz 的频率闪烁。系统 中用 S1 按键进行复位。 实验箱中用到的数字时钟模块、按键开关、数码管与 FPGA 的接口电路,以及 数字时钟源、按键开关、数码管与 FPGA 的管脚连接在以前的实验中都做了详细说 明,这里不在赘述。交通灯模块原理与 LED 灯模块的电路原理一致,当有高电平输 入时 LED 灯就会被点亮,反之不亮。只是 LED 发出的光有颜色之分。其与 FPGA 的 管脚连接如下表 19-1 所示: 四、实验步骤 1、打开 QUARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个 VHDL File,打开 VHDL 编辑器对话框。

相关主题
文本预览
相关文档 最新文档