当前位置:文档之家› 交通信号灯控制器实验报告

交通信号灯控制器实验报告

交通信号灯控制器实验报告
交通信号灯控制器实验报告

交通信号灯控制器

一、设计任务及要求 (2)

二、总体方案设计以及系统原理框图 (2)

2.1、设计思路 (2)

2.2、各模块相应的功能 (2)

2.3、系统原理图 (3)

三、单元电路设计 (3)

3.1、车辆检测电路 (3)

3.2、主控电路 (4)

3.3、灯控电路 (5)

3.4、计时控制电路 (6)

3.5、计时显示电路 (6)

3.6、反馈控制电路 (7)

3.7、置数电路 (7)

3.8、时基电路 (7)

四、工作原理 (8)

五、电路的软件仿真及结果分析 (8)

5.1、时基电路(555接成的多谐振荡器)的电路图以及波形的显示 (8)

5.2、结果分析 (10)

六、电路的组装调试 (10)

6.1、使用的主要仪器和仪表 (10)

6.2、调试电路的方法和技巧 (10)

6.3、调试中出现的问题、原因和排除方法 (11)

七、收获、存在的问题和进一步的改进意见 (11)

7.1、存在的问题和进一步的改进意见 (11)

7.2、收获以及心得体会 (12)

附录一:电路所用元器件 (14)

附录二:电路全图 (15)

附录三:实际电路图 (16)

一、设计任务及要求

在一个主干道和支干道汇交叉的十字路口,为了确保车辆行车安全,迅速通行,设计一个交通信号灯控制电路,要求如下:

1、用两组红、绿、黄发光二极管作信号灯,分别指示主道和支道的通行状

态。

2、通行状态自动交替转换,主道每次通行30秒,支道每次通行20秒,通

行交替间隔时为5秒。

3、通行状态转换依照“主道优先”的原则,即:当主道通行30秒后,若支

道无车则继续通行;当支道通行20秒后,只有当支道有车且主道无车时才允许继续通行。(用按键模拟路口是否有车)

4、设计计时显示电路,计时方式尽量采用倒计时。

二、总体方案设计以及系统原理框图

2.1、设计思路

本次设计采用模块划分的方法,每个模块完成一项功能,最后将各个模块连接起来,设计完成后,用Multisim进行仿真,仿真成功后,再去实验室焊接调试。

2.2、各模块相应的功能

(1)车辆检测电路:用来显示主路支路车辆的四种情况。

(2)主控电路:该电路为一个时序逻辑电路,根据车辆的情况实现灯的状态转换。

(3)灯控电路:用来控制灯的四种状态。

(4)计时控制电路:实现时间的倒计时。

(5)计时显示电路:显示时间。

(6)反馈控制电路:为灯的状态转换提供一个触发信号。

(7)置数电路:为每种情况设置应有的时间。

(8)时基电路:为计时控制电路提供触发信号。

2.3、系统原理图

三、 单元电路设计

3.1、车辆检测电路

主路支路车辆总共有四种情况,分别是:主路支路都没车,主路没车支路有车,主路有车支路没车,主路支路都有车;用两个状态变量1X 、2X 可以表示这四种情况,1X 表示主路车辆,且11X =表示主路有车,10X =表示主路没车,2X 表示支路车辆,且21X =表示支路有车,20X =表示支路没车。

选用器材:两个按键开关,这次我们设计的电路中,按键按下表示有车,按键没按表示没车。

主干道 支干道 交通灯控制电路原理框图

3.2、主控电路

(1) 状态选择

主路支路灯亮灭的情况总共有四种情况,分别是主绿支红,主黄支红,主红支绿,主红支黄,可以用两个状态变量1Q 、2Q 来表示这四种情况。

(2) 状态转换图

12X X

(3) 状态化简

总的卡诺图

*1Q

通过上图可化简得:*''11212Q Q Q Q Q =+

*2Q

通过上图可化简得:*'''''2212112212Q X Q Q X Q Q X Q Q =++

(4) 根据上述化简结果,选择双JK 触发器CD4027。

由JK 触发器特性方程:*

'

'

Q JQ K Q =+

可得:

12

12J Q K Q == '

'22112

1

2()1

J X Q X X Q K =++=

3.3、灯控电路

(1) 真值表

分别用G 、Y 、R 来表示主路的绿灯、黄灯、红灯,用g 、y 、r 来表示支路绿灯、黄灯、红灯,且都是值为1表示灯亮,为0表示灯不亮。

(2) 逻辑表达式

由上述真值表,通过化简可得各自的逻辑表达式如下:

''

12

'121

G Q Q Y Q Q R Q ===

'

12

12'

1g Q Q y Q Q r Q ===

(3) 器材选用

选用六个发光二极管,所选的发光二极管的电压为1.5V 左右,电流为3~5mA ,而输出的高电平为5V ,为了保护二极管,并且防止电平偏移,所以在每个二极管前面都必须加限流电阻,经过计算,电阻可在0.7~1.17kΩ之间,本次设计中我们选用6个1kΩ电阻。

3.4、计时控制电路

因为本次实验采用倒计时,所以必须选用有减法计数功能的芯片,而且实验中计数最大可达到30,因此选用两个CD40192同步十进制加减计数器,将两个芯片采用串联接法,即一个芯片(控制低位的数字)的借位端接至另一个芯片(控制高位的数字)的减计数时钟输入端,可得100进制减法计数器,并且将芯片(控制高位的数字)的借位输出端接至两个芯片的置数端(低电平有效),通过置数电路,即可将计数器置成相应的数字。

3.5、计时显示电路

选用两个共阴极七段数码管来显示时间,并且选用两个CD4511译码器作为驱动芯片。因为数码管也是发光二极管组成,因此接至数码管之前必须得接限流电阻,为保证数码管稳定工作且有足够的亮度,本实验选用14个510Ω的电阻。

3.6、反馈控制电路

为了使灯可以顺利转换状态,必须给JK 触发器在适当的时候接触发信号,而灯转换状态的时刻也就是数码管显示00的时刻,也即CD40192持续置零的时刻,此时CD40192高位的借位输出端呈现高低电平交替输出的状态,它正好可以作为JK 触发器的触发信号,从而完成了从计时控制电路向主控电路的反馈。

3.7、置数电路

(1) 真值表

在主控电路状态转换时,需要通过此时灯的状态和下一时刻灯的状态来决定计数器的置数情况;分别用DCBA 来表示高位的各位数,用dcba 来表示低位的各位数。

(2) 逻辑表达式

根据上述的真值表,通过化简,可得:

212

0D C B Q A Q Q ====

'

220

d b c a Q J ====

3.8、时基电路

(1) 选用555构成多谐振荡器,为计时控制电路提供触发信号。

(2) 周期计算

周期公式:121(2)ln 2T R R C =+ 本次实验选用1100C F μ=,11R k =Ω;

1T s =Q

211111()(1) 6.752ln 221000.69T s

R R k k C F μ∴=-≈-Ω≈Ω?

∴选R 2=6.8kΩ.

除此而外还得选用10nF 的电容,使555的5号管脚通过它接地。

四、工作原理

交通信号灯控制器由车辆检测电路、主控电路、灯控电路、计时控制电路、计时显示电路、反馈控制电路、置数电路、时基电路等模块组成。

主控电路根据车辆检测电路传达来的车辆情况来设置灯的转换状态,再由灯控电路实现灯状态的显示;时基电路为计时控制电路提供CLK 触发信号,计时控制电路用来实现时间的倒计时,再通过计时显示电路在数码管中显示具体的时间;计时控制电路通过反馈控制电路为主控电路提供CLK 触发信号,使主控电路可以顺利完成灯状态的转换,而主控电路又通过置数电路为计时控制电路设置每种状态倒计时的时间;每个模块相互联系相互制约,协调工作从而实现了交通信号灯的控制。

五、电路的软件仿真及结果分析

5.1、时基电路(555接成的多谐振荡器)的电路图以及波形的显示

电路图

波形图(为了便于观察,仿真中将频率放大100倍)

5.2、结果分析

(1)主路支路均有车

此种情况下为正常情况,主路支路交替运行,数码管交替显示30、

05、20、05,信号灯也按主绿支红、主黄支红、主红支绿、主红

支黄等状态交替显示。

(2)主路有车支路没车

若之前为支路在运行,结束后数码管显示05、30、00,最后就停

留在00,信号灯最后停留在主绿支红的状态。

若之前为主路在运行,结束后数码管就停留在00,信号灯也停留

在主绿支红的状态。

(3)主路没车支路有车

若之前为支路在运行,结束后数码管直接停留在00,信号灯停留

在主红支绿的状态。

若之前为主路在运行,结束后数码管显示05、20、00,最后停留

在00,信号灯也保持主红支绿的状态不变。

(4)主路支路都没车

若之前为支路在运行,结束后数码管显示05、30、00,最后就停

留在00,信号灯最后停留在主绿支红的状态。

若之前为主路在运行,结束后数码管就停留在00,信号灯也停留

在主绿支红的状态。

六、电路的组装调试

6.1、使用的主要仪器和仪表

稳压电源,数字万用表,示波器。

6.2、调试电路的方法和技巧

将电路接通电源,出现异常现象后,根据现象,利用真值表或是逻辑表达式判断可能是哪个芯片的哪个管脚出现了问题,然后再用万用表测管脚电压等方法一个个排除,最后找到问题的原因所在。

6.3、调试中出现的问题、原因和排除方法

(1)接通电源后,数码管停留在88,并不计数,数码管不计数,我们首先想到的是555接错了,检查电路确认正确后,接通了示波器,在示波器上我们看到了方波,明显这个错误不是555的问题;接着我们分析和数码管有直接联系的是CD4511译码器,数码管停留在88,即数码管各二极管全亮了,我们先想到的是LT灯测试端,它为零时数码管全亮,经过检查电路后,发现它接的是高电平,从而排除了这个原因;我们继续检查CD4511的各个管脚的连接情况,发现16管脚没有接电源,8管脚没有接地,这个芯片根本不工作,我们将8、16管脚接好后,发现计数器开始计数了。(2)接通电源后,六灯齐亮,既然是灯的状态出现了异常,很自然地我们在主控电路上找问题,主要是检查CD4027双JK触发器是否接错或是漏接,经过排查后确认并没有接错,不过置0端Rd和置1端Sd没有接,因为在本电路设计中跟它们没有直接关系,所以我们忽略了对它们的处理;我们又经过分析得到,六灯齐亮,根据逻辑表达式可得,此种情况只能是

''

11221

Q Q Q Q

====,而这种情况在JK触发器的正常状态下根本不存在,于是我们进一步确定了这个问题跟置0端Rd和置1端Sd有关,所以将它们均接地,接通电源后灯按照既定的状态正常显示了。

七、收获、存在的问题和进一步的改进意见

7.1、存在的问题和进一步的改进意见

虽然这次设计完成了所要求的任务,但是还有以下几点可以做进一步修改:

(1)当遇到紧急情况时,主路和支路均亮红灯;

(2)遇紧急情况计时暂停,状态结束后继续;

(3)设计主道超声波车辆检测电路,加入模拟电路这一部分的设计;

(4)接通电源开始时会出现一些乱码,等计数到00后,才按照正常的状态工作,可以把初始状态设计成正常状况下的一种。

7.2、收获以及心得体会

在整个电路的设计制作过程中,我通过自己的亲身经历总结了一下几点:

1、整个电路制作过程中的重点部分不是电路的设计,虽然这个是需要大量相关

知识储备的,但是,只要是有足够长的时间和足够多的资料,任何一个有一定电子课程学习基础的同学都能设计出一个不错的电路,并实现其功能。所以,其重点应当是在电路实际制作过程中的线路排布,芯片的布局直接决定了后期线路连接的质量,进而决定我们制作的电路能否顺利实现其功能;2、在安排芯片的位置时,一般有两个不同的原则,功能线优先和电源线优先,

解释一下:功能线原则就是在排芯片的位置时,重点考虑芯片上除电源线和地线以外的其他管脚的接线,这样的好处是便于在实现其功能时少接线,或者可以使接线更均匀,适用于电线比较多的情况;另外一种情况是考虑电源和接地,这种情况下,可能对其他管脚的接线带来不便,与前者相比,没有发现什么明显的优势。所以最好是选择功能线优先的方法,我在接线的时候优先考虑了电源线和地线,所以在接线过程中,遇到了一些非常头疼的问题,比如线路不清晰,排布混乱等等;

3、电路的焊接是个技术活,电路焊接过程中,不正确的使用方式会带来一系列

的问题,比如像焊点出现虚焊,焊点太大或太小,焊接时间过长到时线路熔断等。由于焊接的方法使我们自己总结的,所以,我认为比较正确的焊接方式是:先把电烙铁放在焊点的一侧,预热一两秒,然后把焊锡伸到烙铁和接线中间的缝隙中,这样就能保证焊点中间的空隙可以很好的被焊锡封住,不会产生虚焊,焊接时,如何控制焊锡跟进的速度是有规律的,如果一昧的往里送焊锡会导致焊点过大,所以在焊接时,眼睛一定要紧盯着焊点。

4、电路故障的检查,我们组的电路在焊接完成后,发现数码管不显示,灯也不

亮。我们在仔细看过发光二极管后发现不是灯不亮,而是灯管很微弱,在光强的地方不易察觉,于是考虑到在焊接电路时用的保护电阻有点大,就换上了比较小的电阻,解决了灯不亮的问题。但是这时的数码管还是不显示,检查并排除了数码管电路接触不良的问题,如果是接触不良,不会出现全都不亮的情况,于是考虑接地或者是电源的原因,后来发现是数码管没有接地,在连上接地线后,解决了这一问题。这时的电路总结还有两个问题,一是灯明灭没有规律,二是数码管显示乱码,考虑到电路在排线和焊接过程中并没有进行系统的排查,我们就先按照电路图排查了一遍线路,发现了几个接错和少接的地方,在解决问题后,电路的显示还是没有好转,但是发现在用手按压或者触摸一些地方时,数码管和灯有明显的变化,我们开始检查焊点是

否牢固,并将检查范围定在用手按压或者触摸时电路显示明显变化的地方,终于发现了几个断掉的焊点,重新焊接解决问题。

在整个检查过程中,我们小组总结了一下几个比较不错的方法,在不使用万用表进行检测的情况下,考虑的可能的情况有:接触不良、芯片故障、接线错误三部分。在排查时要考虑模块化和功能区域化,就单一问题首先单独检查与其相关联的电路,如果是所有的功能都不正确就要首先考虑总的控制电路是否有故障;另外几个比较好的查接触问题的方法,触摸法和短接法,是比较常用的,其中短接法更接近于用万用表进行检查,触摸法的有点在于,不使用专业的仪表,先对出现问题的部分进行大致的查找,尽量缩小问题可能出现的范围,在利用观察或者是仪表进行检查。

5、在整个电路的设计和制作过程中,有一些技巧是一定要掌握的,其中包括:

排线的最优化问题,焊接工艺,用万用表排查电路故障的方法。

参考文献和资料

(1)数字电子技术基础(第五版),清华大学电子学教研组编,高等教育出版社(2)开放式电子技术基础实验教程,梁明新编,中国电力出版社

(3)百度百科

附录一:电路所用元器件

附录二:电路全图

附录三:实际电路图

6

微控制器实验报告

微控制器技术实验报告 班级: 姓名: 学号:

微控制器技术实验说明 一、实验目的及要求: 1、学习Keil C51集成开发工具的操作及调试程序的方法,包括:仿真调试 与脱机运行间的切换方法; 2、熟悉TD-51单片机系统板及实验系统的结构及使用; 3、进行MCS51单片机指令系统软件编程设计与硬件接口功能设计; 4、学习并掌握Keil C51与Proteus仿真软件联机进行单片机接口电路的设 计与编程调试; 5、完成指定MCS51单片机综合设计题 二、实验基本内容(TD-51单片机实验系统实现) 实验一清零程序与拆字程序设计 根据实验指导书之“第二章单片机原理实验”(P17~P23页)内容,熟悉实验环境及方法,完成思考题1、2(P23)基础实验项目。 实验二拼字程序与数据传送程序设计 汇编语言完成实验指导书P24思考题3、4题的基础实验项目。 实验三排序程序与散转程序设计 汇编语言完成实验指导书P24思考题5、6题的基础实验项目。 实验四静态存储器扩展实验 基本部分:阅读、验证C语言程序功能。使用汇编语言编程,完成实验指导书之“4.1 静态存储器扩展实验”基本实验项目(P57)。 提高部分:阅读、验证C语言程序功能。使用汇编语言编程,完成实验指导书之“4.2 FLASH存储器扩展实验”实验项目(P60)。 实验五数字量输入输出实验 基本部分:阅读、验证C语言程序功能。使用汇编语言编程,完成实验指导书之“3.1 数字量输入输出实验”基本实验项目(P36),。 提高部分:(任选一题) 题目一:LED交通灯控制(使用8255接口芯片) 要求:使用汇编语言编程,功能为:通过开关实现LED灯工作方式即时控 制,完成LED交通灯的开关控制显示功能和LED交通灯自动循环显示功能。 题目二:LED灯控制(使用8255接口芯片) 要求:使用汇编语言编程,功能为:通过KK1实现LED灯工作方式即时控 制,完成LED开关控制显示和LED灯左循环、右循环、间隔闪烁功能。 题目三:键盘扫描与数码管显示设计( 要求:阅读、验证P69上的C 语言参考程序功能。使用汇编语言完成编程与功能调试。

PLC实验报告(交通灯控制系统)

交通灯控制系统 一、实验目的 (1)用PLC构成十字路口交通灯控制系统。 (2)掌握程序调试的步骤和方法。 (3)掌握构建实际PLC控制系统的能力。 二、实验要求 (1)复习PLC常用指令的功能及用法。 (2)复习PLC程序设计的一般方法。 (3)根据实验要求提前编写程序,待上机验证调试修改。 三、实验环境 软件:STEP 7-Micro_WIN V40+ SP9:S7-200的编程软件 STEP 7-Micro_WIN V32指令库 硬件:THSMS-2A型PLC实验箱(西门子)、电脑、连接导线、USB-PPI 通信电缆 四、实验内容及步骤 交通灯控制系统面板图如上图所示,控制要求如下: 交通信号灯受一个总控制开关控制,当总控制开关接通时,信号灯系统开始工作。 开始工作后,南北红灯和东西绿灯同时点亮,4秒后东西绿灯开始闪烁,闪烁2秒后熄灭,熄灭同时切换成东西黄灯亮,2秒后东西黄灯和南北红灯同时熄灭,东西红灯和南北绿灯同时点亮。4秒后南北绿灯开始闪烁,闪烁2秒后熄灭,熄灭同时切换成南北黄灯亮,2秒后南北黄灯和东西红灯同时熄灭,再次切换成南北红灯和东西绿灯同时点亮。如此循环,周而复始。 当总控制开关断开时,所有信号灯都熄灭。

(1)确定I/O点数。列出详细的I/O地址分配表。如(该表仅为举例, (3)输入编好的PLC控制程序。 (4)运行程序,按控制要求设置各输入量,观察PLC运行情况,记录南北、东西各灯顺序亮、灭的运行情况。调试程序直至正确为止。 解:由题目要求得,

②梯形图如下图①,语句表如下图②,时序图如下图③: 图①图① 图②图② 图③ 五、注意事项

交通灯实验报告

交通控制器设计实验 一.实验目的 1.了解交通灯的亮灭规律。 2.了解交通灯控制器的工作原理。 3.进一步熟悉VHDL语言编程,了解实际设计中的优化方案。二.实验任务 设计一个十字路口交通控制系统,其东西,南北两个方向除了有红、黄、绿灯指示是否允许通行外,还设有时钟,以倒计时方式显示每一路允许通行的时间,绿灯,黄灯,红灯的持续时间分别是40、5和45秒。当东西或南北两路中任一道上出现特殊情况,例如有消防车,警车要去执行任务,此时交通控制系统应可由交警手动控制立即进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮,时钟停止计时,且其数字在闪烁。当特殊运行状态结束后,管理系统恢复原来的状态,继续正常运行。 三.原理分析 本系统主要由计数控制器和倒计时显示器电路组成。计数控制器实现总共90秒的计数,90秒也是交通控制系统的一个大循环;控制器控制系统的状态转移和红黄绿灯的信号输出;倒计时显示器电路实现45秒倒计时和显示功能。整个系统的工作时序受控制器控制,它是系统的核心。 控制器的整个工作过程用状态机进行描述,其状态转移关系如下图所示。五种状态描述如下: s0:东西方向红灯亮,南北方向绿灯亮,此状态持续40秒的时间; s1:东西方向红灯亮,南北方向黄灯亮,此状态持续5秒的时间;

s2:东西方向绿灯亮,南北方向红灯亮,此状态持续40秒的时间; s3:东西方向黄灯亮,南北方向红灯亮,此状态持续5秒的时间; s4:紧急制动状态,东西方向红灯亮,南北方向红灯亮,当紧急制动信号有效(hold=’0’)时进入这种状态。 当紧急制动信号无效(hold=’1’)时,状态机按照s0→s1→s2→s3→s0循环;当紧急制动有效(hold=’0’)时,状态机立即进入s4,两个方向红灯全亮,计数器停止计数;当紧急制动信号再恢复无效时,状态机会回到原来的状态继续执行。 四.电路设计 交通控制器系统顶层原理图如下图所示,它由计数控制器(control),45秒倒计时计数器(M45)模块组成。下面主要介绍计数控制器和倒计时计数器M45的设计方法。

单片机实验报告书

并行I/O接口实验 一、实验目的 熟悉掌握单片机并行I/O接口输入和输出的应用方法。 二、实验设备及器件 个人计算机1台,装载了Keil C51集成开发环境软件。https://www.doczj.com/doc/1111883459.html,单片机仿真器、编程器、实验仪三合一综合开发平台1台。 三、实验内容 (1)P1口做输出口,接八只发光二极管,编写程序,使发光二极管延时(0.5-1秒)循环点亮。实验原理图如图3.2-1所示。 图3.2-1单片机并行输出原理图 实验程序及仿真 ORG 0000H LJMP START ORG 0100H START:MOV R2,#8 MOV A,#0FEH LOOP:MOV P1,A LCALL DELAY RL A

DJNZ R2,LOOP LJMP START DELAY:MOV R5,#20 D1:MOV R6,#20 D2:MOV R7,#248 D3:DJNZ R7,D3 DJNZ R6,D2 DJNZ R5,D1 RET END 中断实验 一、实验目的 熟悉并掌握单片机中断系统的使用方法,包括初始化方法和中断服务程序的编写方法。 二、实验设备及器件

个人计算机1台,装载了Keil C51集成开发环境软件。 https://www.doczj.com/doc/1111883459.html,单片机仿真器、编程器、实验仪三合一综合开发平台1台。 三、实验内容 (2)用P1口输出控制8个发光二极管LED1~LED8,实现未中断前8个LED闪烁,响应中断时循环点亮。 实验程序及仿真 ORG 0000H LJMP MAIN ORG 0003H LJMP INT00 ORG 0010H MAIN: A1:MOV A,#00H MOV P1,A MOV A,#0FFH MOV P1,A SETB EX0 JB P3.2,B1 SETB IT0 SJMP C1 B1:CLR IT0 C1:SETB EA NOP SJMP A1 INT00:PUSH Acc PUSH PSW MOV R2,#8 MOV A,#0FEH LOOP: MOV P1,A LCALL DELAY RL A DJNZ R2,LOOP

数字系统课程设计-交通灯控制器实验报告

交通灯控制器 ——数字系统设计报告 姓名: 学号:

一.实验目的 1.基本掌握自顶向下的电子系统设计方法 2.学会使用PLD和硬件描述语言设计数字电路,掌握 Quartus II等开发工具的使用方法 3.培养学生自主学习、正确分析和解决问题的能力 二.设计要求 我所选择的课题是用Verilog HDL实现交通灯控制器。该课题的具体内容及要求如下: 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。 (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s 。 (3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。 (4)不论主干道情况如何,乡村公路通车最长时间为16s。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时

间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极管作交通灯。 (7)要求显示时间,倒计时。 (C表示乡村道路是否有车到来,1表示有,0表示无;SET用来控制系统的开始及停止;RST是复位信号,高电平有效,当RST=1时,恢复到初始设置;CLK是外加时钟信号;MR、MY、MG分别表示主干道的红灯、黄灯和绿灯;CR、CY、CG分别表示乡村道路的红灯、黄灯和绿灯,1表示亮,0表示灭) 系统流程图如下:(MGCR:主干道绿灯,乡村道路红灯;MYCR:主干道黄灯,乡村道路红灯;MRCG:主干道红灯,乡村道路绿灯;MRCY:主干道红灯,乡村道路黄灯;T0=1表示主干道最短通车时间到,T1=1表示5秒黄灯时间到,T2=1表示乡村道路最长通车时间到。)

通过Verilog实现交通灯设计实验报告

电子科技大学 实 验 报 告 一、实验室名称:虚拟仪器实验室 二、实验项目名称:交通灯设计实验 三、实验学时:4学时 四、实验原理

假设交通灯处于南北和东西两条大街的“十”字路口,如图1所示。用FPGA 开发板的LED 灯来模拟红、黄、绿3种颜色信号,并按一定顺序、时延来点亮LED ,如图2所示。图3给出了交通灯的状态转移图。设计使用频率为1Hz 的时钟来驱动电路(注1:仿真时采用1MHz 的时钟来驱动电路),则停留1个时钟可得到1S 的延时,类似停留3个时钟可得到3S 的延时,停留15个时钟可得到15S 的延时(注2:开发板工作时钟为50MHz )。 北 南 西东 图1. 六个彩色LED 可以表示一组交通信号灯 图2. 交通灯状态 南北 东西 红 黄 绿 红 黄 绿 S0 1 0 0 0 0 1 S1 1 0 0 0 1 0 S2 1 0 0 1 0 0 S3 0 0 1 1 0 0 S4 0 1 0 1 0 0 S5 1 0 0 1 0 0

图3. 交通灯的状态转移图 顶层模块 时钟分频模块状态机跳转模块 图4. 交通灯的原理框图 五、实验目的 本实验是有限状态机的典型综合实验,掌握如何使用状态转移图来定义Mealy状态机和Moore状态机,熟悉利用HDL代码输入方式进行电路的设计和仿真的流程,掌握Verilog语言的基本语法。并通过一个交通灯的设计掌握利用EDA软件(Xilinx ISE 13.2)进行HDL代码输入方式的电子线路设计与仿真的详细流程。。 六、实验内容 在Xilinx ISE 13.2上完成交通灯设计,输入设计文件,生成二进制码流文件下载到FPGA开发板上进行验证。 七、实验器材(设备、元器件)

plc交通灯实验报告

plc交通灯实验报告 篇一:PLC交通灯课程设计报告 信息与电子工程学院 课程设计报告 目录 一、课程设计概述 ................................................ .................. 3 1.1课程设计内容 ................................................ ........................... 3 1.2课程设计技术指标 ................................................ ................... 3 二、方案的选择及确定 ................................................ ........... 4 三、系统硬件设计 ................................................ .................. 5 四、系统软件设计 ................................................ .................. 6 五、触摸屏设计 ................................................ ...................... 8 六、系统调试 ................................................ ......................... 9 七、总结以体

微控制器技术实验报告

《微控制器技术》 实验报告 指导老师: 专业: 班级: 姓名: 学号:

“微控制器技术”实验任务说明 实验目的与要求: 1、熟练掌握Keil C51集成开发工具的操作及调试程序的方法,包括:仿真调试与脱机运行间的切换方法; 2、熟练使用SST89C554RC单片机核心板及I/O扩展实验系统; 3、熟练掌握在Keil C51与Proteus仿真软件虚拟联机环境下,基于51单片机控制器数字接口电路的硬件、软件设计与功能调试; 4、完成MCS51单片机指令系统软件编程设计和硬件接口功能设计题;

实验一数字量输入输出实验 基本部分:阅读、验证C语言程序功能。使用汇编语言编程,完成实验指导书之“3.1 数字量输入输出实验”基本实验项目(P36)。 提高部分:(任选一题) 题目一:LED交通灯控制(使用8255接口芯片) 要求:使用汇编语言编程,功能为:通过开关实现LED灯工作方式即时控制,完成LED交通灯的开关控 制显示功能和LED交通灯自动循环显示功能。 题目二:LED灯控制(使用8255接口芯片) 要求:使用汇编语言编程,功能为:通过KK1实现LED灯工作方式即时控制,完成LED开关控制显示和LED灯左循环、右循环、间 隔闪烁功能。 题目三:键盘扫描与数码管显示设计(使用8255接口芯片)要求:阅读、验证C语言参考程序功能。使用汇编语言完成编程与功能调试(P69)。 1、实验目的 了解P1口作为输入输出方式使用时,CPU对P1口的操作方式。 2、实验内容: P1口是8位准双向口,每一位均可独立定义为输入输出。编 写实验程序,将P1口的低4位定义为输出,高4位定义为输 入,数字量从P1口的高4位输入,从P1口的低4位输出控 制发光二极管的亮灭。

EDA实验报告实验五:交通灯控制器设计

实验五十字路口交通灯控制器设计一.实验目的 1.进一步加强经典状态机的设计 2.学会设计模可变倒计时计数器 二.实验要求 一条主干道,一条乡间公路。组成十字路口,要求优先保证主干道通行。有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制;交通灯由绿→红有4秒黄灯亮的间隔时间,由红→绿没有间隔时间;系统有MRCY、MRCG、MYCR、MGCR四个状态; 乡间公路右侧各埋有一个传感器,当有车辆通过乡间公路时,发出请求信号S=1,其余时间S=0; 平时系统停留在MGCR(主干道通行)状态,一旦S信号有效,经MYCR(黄灯状态)转入MRCG(乡间公路通行)状态,但要保证MGCR的状态不得短于一分钟;一旦S信号无效,系统脱离MRCG状态。随即经MRCY(黄灯状态)进入MGCR 状态,即使S信号一直有效,MRCG状态也不得长于20秒钟。 三.实验设计: 1.一条主道,一条乡道,组成十字路口,要求优先保证主道通行。 2.当主道没有车通行,且乡道友车要通行时,并且此时主道通行时间大于1分钟,则主道变黄灯,乡道保持红灯,经过4秒倒计时时间进入主道为红灯乡道为绿灯的状态。再倒计时20秒钟。在倒计时过程中,若乡道突然没有车通行,马上进入主道红灯,乡道黄灯状态,倒计时4秒。 3.之后主道变为绿灯,乡道为红灯,这时无论乡道有无车通行都要倒计时60秒,然后若乡道有车通行则主道为黄灯,乡道为红灯,若乡道一直没有车要通行则保持主道通行,若乡道友车通行则按照上面的状态依次进行转换。 按照以上的思路,设计两个底层文件和一个顶层文件: 1.模块1是状态改变控制6盏灯的亮与灭。

交通灯控制器课程设计实验报告

2011级课程设计实验报告 交 通 灯 控 制 器 院(系):计算机与信息工程学院 专业年级: 2011级通信工程一班 姓名: 谢仙 学号: 指导教师: 杨菊秋 2013年06月25日

目录 1 引言 (3) 2 任务与要求 (3) 3 课程设计摘要及整体方框图 (3) 4 课程设计原理 (4) 555定时器 (5) 七位二进制计数器4024 (6) 二进制可逆计数器74LS193 (8) 数码显示电路 (9) 结论 (10) 体会与收获 (10) 附录: 1、整体电路原理图 (11) 2、元件表 (12) 3、焊接与调试 (12) 1引言

交通信号灯常用于交叉路口,用来控制车辆的流量,提高交叉路口车辆的通行能力,减少交通事故。本交通灯设计主要由秒脉冲发生器、计数器、译码显示电路组成。秒脉冲发生器由NE555产生脉冲,计数器由74LS193和4024实现,译码电路采用74LS48和七段数码管来显示。 2设计任务与要求 交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下; 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮。 3课程设计摘要及整体方框图 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 4 课程设计原理分析及相关知识概述

交通灯实验报告

微机原理课程设计报告 新疆农业大学 计算机与信息工程学院 课程题目:微机原理与几口技术 班级:电科112 指导老师:张婧婧 姓名:刘建国 学号:114633222

基于8086的交通信号控制器的设计报告摘要: 这次课程设计,我们的任务是:基于8086的交通信号控制器的设计。8086系统是我们这个学期学习的主线方向,我们将在8086系统的基础上完成交通信号控制器的设计,其具体功能是:1.显示十字路口东西、南北2个方向的红、黄、绿的指示状态。2.实现正常的倒计时功能。用2组数码管作为东西和南北方向的倒计时显示,显示时间为红灯30s,绿灯50s,黄灯5s。3.按S1键能实现特殊的功能,显示倒计时的2组数码管闪烁,计数器停止计数并保持在原来的状态;东西、南北路口均显示红灯状态;特殊状态解除后能继续计数。4.按S2键实现总体清零功能。计数器由初始状态计数,对应的指示灯亮。 关键词:8086系统 74154 74HC373 8255A LED交通灯

(一) 1) 设计目的 交通信号控制灯是日常交通不可缺少的工具,涉及到人们的人生和财产安全,在道路行驶上起了相当关键的作用,因而设计交通信号控制灯是非常有意义的。同时我们这次设计的课题就是“基于8086交通信号控制器的设计”,基于以上目的,我利用一周时间精心设计出课题要求的交通灯。 2) 设计思想 在此次设计过程中,我们选择了数码管、发光二极管、74LS138、74LS373、8255A和8086来控制实现交通灯按设计要求工作。 3)硬件部分 1、LED设计说明: 用LED作为倒计时时间的显示器,LED在亮度、功耗、可视角度和刷新速率等方面,都更具优势。LED与LCD的功耗比大约为10:1,利用LED技术,可以制造出比LCD更薄、更亮、更清晰的显示器,LED是发光二极管属于二极管的一种,LCD是液晶显示器,两者相差太多.但是用LED的点阵也能组成显示器,适用于户外大屏幕显示,分辨率较低,LED与LCD具体比较如下图 表1-1:LCD与LED的比较 2、8255设计说明: 用8255A可编程并行接口芯片的A、B、C三口作为红、绿、黄交通灯的控制输入口。8255有三个并行输入输出口,可以方便的对三种颜色的交通灯进行很好的控制。解决方案是:PB0~PB7接模拟灯二极管,PA0~PA7接7段二极管的段选,PC0~PC3接7段二极管的位选,PC4~PC7与开关相连,处理器芯片集成芯片卡PCI卡连接,用于完成硬件方面的实验正常通信。其芯片比较说明:如下表: 表1-2:8255A与8251芯片的比较

交通灯控制逻辑电路设计实验报告

《数字设计》课程实验报告 实验名称:交通灯控制逻辑电路的设计与仿真实现 学员:学号: 培养类型:年级: 专业:所属学院: 指导教员:职称: 实验室:实验日期:

交通灯控制逻辑电路的设计与仿真实现 实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim 仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim 仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。(5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。 三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。 在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试。接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试。最后完成定时电路的设计与调试。整合电路,形成整个系统完整的电路,统调测试结果。

交通信号灯控制器实验报告

交通信号灯控制器 一、设计任务及要求 (2) 二、总体方案设计以及系统原理框图 (2) 2.1、设计思路 (2) 2.2、各模块相应的功能 (2) 2.3、系统原理图 (3) 三、单元电路设计 (3) 3.1、车辆检测电路 (3) 3.2、主控电路 (4) 3.3、灯控电路 (5) 3.4、计时控制电路 (6) 3.5、计时显示电路 (6) 3.6、反馈控制电路 (7) 3.7、置数电路 (7) 3.8、时基电路 (7) 四、工作原理 (8) 五、电路的软件仿真及结果分析 (8) 5.1、时基电路(555接成的多谐振荡器)的电路图以及波形的显示 (8) 5.2、结果分析 (10) 六、电路的组装调试 (10) 6.1、使用的主要仪器和仪表 (10) 6.2、调试电路的方法和技巧 (10) 6.3、调试中出现的问题、原因和排除方法 (11) 七、收获、存在的问题和进一步的改进意见 (11) 7.1、存在的问题和进一步的改进意见 (11) 7.2、收获以及心得体会 (12) 附录一:电路所用元器件 (14) 附录二:电路全图 (15) 附录三:实际电路图 (16)

一、设计任务及要求 在一个主干道和支干道汇交叉的十字路口,为了确保车辆行车安全,迅速通行,设计一个交通信号灯控制电路,要求如下: 1、用两组红、绿、黄发光二极管作信号灯,分别指示主道和支道的通行状 态。 2、通行状态自动交替转换,主道每次通行30秒,支道每次通行20秒,通 行交替间隔时为5秒。 3、通行状态转换依照“主道优先”的原则,即:当主道通行30秒后,若支 道无车则继续通行;当支道通行20秒后,只有当支道有车且主道无车时才允许继续通行。(用按键模拟路口是否有车) 4、设计计时显示电路,计时方式尽量采用倒计时。 二、总体方案设计以及系统原理框图 2.1、设计思路 本次设计采用模块划分的方法,每个模块完成一项功能,最后将各个模块连接起来,设计完成后,用Multisim进行仿真,仿真成功后,再去实验室焊接调试。 2.2、各模块相应的功能 (1)车辆检测电路:用来显示主路支路车辆的四种情况。 (2)主控电路:该电路为一个时序逻辑电路,根据车辆的情况实现灯的状态转换。 (3)灯控电路:用来控制灯的四种状态。 (4)计时控制电路:实现时间的倒计时。 (5)计时显示电路:显示时间。 (6)反馈控制电路:为灯的状态转换提供一个触发信号。 (7)置数电路:为每种情况设置应有的时间。 (8)时基电路:为计时控制电路提供触发信号。

交通灯实验报告——数字电路

一、用中规模器件设计交通灯控制器 一、任务要求 1)通过数字电路的设计,在面包板上模拟交通红绿灯。要求分主干道和支干道,每条道上安装红(主R,支r)绿(主G,支g)黄(主Y,支y)三种颜色的灯,由四种状态自动循环构成(G、r→Y、r→R、g→R、y); 2)在交通灯处在不同的状态时,设计一计时器以倒计时方式显示计时,并要求不同状态历时分别为:G、r:30秒;R、g:20秒;Y、r,R、y:5秒。 二、总体方案 三、单元电路设计 1)主控电路 在设计要求中要实现四种状态的自动转换,首先要把这四种状态以数字的形态表示出来。因2*2=4,所以可以两位二进制数表示所需状态(00—G、r, 01—Y、r, 10—R、g, 11—R、y),循环状态:(00—10—11—01—00) 数字电路课程中介绍的计数器就是通过有限几个不同状态之间的循环实现不同模值计数,由此可以尝试设计一模值为4的计数器,其输出(代表不同状态)既可以循环转换,而且能够控制其他部分电路。在课程设计中本人利用74LS74(双上升沿D触发器)设计模4计数器作为主控部分电路。 主控电路图如下:

红绿灯显示电路接线如下: 4)计时部分电路 a)计时器状态产生模块: 设计要求对不同的状态维持的时间不同,而且要以十进制倒计时显示出来。限于实验 室器材本人采用两个74LS161完成计时器状态产生模块设计。 设计思路: 要以十进制输出,而又有一些状态维持时间超过10秒,则必须用两个74LS161分别 产生个位和十位的数字信号。显然,计数器能够完成计时功能,我们可以用74LS161设计, 并把它的时钟cp接秒脉冲。74LS161计数器是采用加法计数,要想倒计时,则在74LS161 输出的信号必须经过非门处理后才能接入数码管的驱动74LS48,而在显示是最好以人们 习惯的数字0---9显示计时,故在设计不同模值计数器确定有效状态时,本人以0000,0001,0010-----1111这些状态中靠后的状态为有效状态。 例如:有效状态1011—1100—1101—1110—1111 取反0100—0011—0010—0001—0000即4------3------2-------1------0实现模5的倒计时。 在将74LS161改装成其他模值时既可以采用同步清零法,也可采用异步置数法,但 0000不可能为有效状态,所以采用异步置数法完成不同模值转化的实现。 首先对控制个位输出的74LS161设计: 按要求对系统的状态不同,即红绿灯的状态不同,个位的进制也就要求不同。本人利 用系统的状态量Q 2、Q 1 控制74LS161的置数端D 3 D 2 D 1 D 。当系统处在G、r或R、g状态时, 个位的进制是十(模10),即逢十进一,当系统处在Y、r或R、y状态时,个位的进制是 五(模5),即逢五进一,模10时,有效状态为0110-----1111,置D 3D 2 D 1 D 为0110,模5

单片机实验报告

课程设计课程名称单片机原理及应用 课题名称基于1602电子时钟设计 专业电子信息工程 班级 学号 姓名 指导老师 2017年12月21日 电气信息学院

课程设计任务书 课题名称基于1602电子时钟设计 姓名专业电子信息工程班级学号 指导老师 课程设计时间2017年12月4日-2017年12月15日(14、15周) 教研室意见意见:审核人: 一、任务及要求 设计任务: 以单片机为核心设计一个电子时钟。能焊接开发板的同学,在开发板上进行调试。 (1)时间显示在1602液晶上,并且按秒实时更新。 (2)使用按键随时调节时钟的时、分、秒,按键可设计三个有效键,分别为功能选择键、数值增大键和数值减小键。 (3)每次有键按下时地,蜂鸣器都以短“滴”声报警。 (4)如何继续断电前的时间。 设计要求: (1)确定系统设计方案; (2)进行系统的硬件设计; (3)完成必要的参数计算与元器件选择; (4)开发板焊接及测试 (5)完成应用程序设计; (6)应用系统的硬件和软件的调试。

二、进度安排 第一周: 周一:集中布置课程设计相关事宜,并查阅、收集相关设计资料。 周二:系统方案设计。 周三~周五:实验室进行硬件设计,软件设计及调试。 第二周: 周一~周二:实验室系统仿真及硬件调试。 周三:实验室检查调试结果。 周四:撰写设计报告。 周五:进行答辩和上交设计说明书。 三、参考资料 1、周向红.51系列单片机应用与实践教程.北京航空航天大学出版社.2008.5 2、周向红.51单片机课程设计.华中科技大学出版社.2011.1 3、王迎旭.单片机原理及应用(第2版).机械工业出版社.2012.2 4、郭天祥.51单片机C语言教程(入门提高开发拓展全攻略).电子工业出版社.2012.1 5、樊思奇.80C51单片机C语言程序设计完全手册.电子工业出版社.2014.5 6、彭伟.单片机C语言程序设计实训100例基于8051+Proteus仿真.电子工业出版社.2009.6 7、孙安青.MCS-51单片机C语言编程100例(第二版).中国电力出版社.2017.6 8、赵建领.零基础学单片机C语言程序设计.机械工业出版社.2012.9

交通灯控制器的设计

EDA实验报告 一、课程设计题目及要求 题目: 十字路口交通灯 具体要求: 设计一个十字路口得交通灯控制器,能显示十字路口东西、南北两个方向红、黄、绿灯得指示状态。用两组红、黄、绿三种颜色得灯分别作为东西、南北两个方向红、黄、绿等。变化规律为:东西绿灯亮,南北红灯亮——东西黄灯亮,南北红灯亮——东西红灯亮,南北绿灯亮——东西红灯亮,南北黄灯亮——东西绿灯亮,南北红灯亮······,这样循环下去。南北方向每次通行时间为45秒,东西方向每次通行时间为45秒,要求两条交叉道路上得车辆交替运行,时间可设置修改。绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道。并要求所有交通灯得状态变化在时钟脉冲上升沿处。 二、实验编程环境 QuartusII 8、0 三、课程设计得详细设计方案 (一)、总体设计方案得描述 1、1、根据交通灯系统设计要求,可以用一个有限状态机来实现这个交通灯控制器。首先根据功能要求,明确两组交通灯得状态,这两组交通灯总共共有四种状态,我们用ST0,ST1,ST2,ST3 来表示: St0表示东西路绿灯亮,南北路红灯亮; St1表示东西路黄灯亮,南北路红灯亮; St2表示东西路红灯亮,南北路绿灯亮; St3表示东西路红灯亮,南北路黄灯亮; 1、2、根据上述四种状态描述列出得状态转换表 1、3、根据状态转换表得到交通灯控制器得状态转移图如图所示。

交通灯控制器得状态转移图 (二)各个模块设计 2、1、控制器模块 控制器模块示意图 其中,clk 为时钟信号,时钟上升沿有效。hold 为紧急制动信号,低电平有效。ared,agreen,ayellow 分别表示东西方向得红灯,黄灯,绿灯显示信号,高电平有效。 bred,bgreen,byellow 分别表示南北方向得红灯,黄灯,绿灯显示信号,高电平有效。 用于控制红绿黄灯得亮暗情况。 2、2、45秒倒计时计数器模块 45秒倒计时计数器模块示意图 其中,CLK 为时钟信号,时钟上升沿有效。EN 为使能端,高电平有效。CR 为紧急制动信号低电平有效。QL{3、、0}就是计数低位。QH{3、、0}就是计数高位。 用于45秒得倒计时计数。 2、3、7位译码器模块 7位译码器模块示意图 其中dat{3、、0}为要译码得信号。a,b,c,d,e,f,g 为译码后得信号。 用于将45秒倒计时计数得信号译码成数码管可以识别得信号。 2、4、50MHZ 分频器模块 50MHZ 分频器模块示意图 其中clk 为50MHZ 时钟信号,时钟上升沿有效。输出clk_out 为1HZ 时钟信号,时钟上升CLK EN CR QL[3、、0] QH[3、、0] OC m45 inst2

plc红绿灯实验报告

竭诚为您提供优质文档/双击可除plc红绿灯实验报告 篇一:交通灯pLc控制实验报告 交通灯的pLc控制实验报告 学院:自动化学院班级:0811103姓名:张乃心学号:20XX213307 实验目的 1.熟悉pLc编程软件的使用和程序的调试方法。2.加深对pLc循环顺序扫描的工作过程的理解。3.掌握pLc的硬件接线方法。 4.通过pLc对红绿灯的变时控制,加深对pLc按时间控制功能的理解。5.熟悉掌握pLc的基本指令以及定时器指令的正确使用方法。 实验设备 1.含可编程序控制器microLogix1500系列pLc的Demo 实验箱一个 2.可编程序控制器的编程器一个(装有编程软件的pc 电脑)及编程电缆。3.导线若干

实验原理 交通指挥信号灯图 I/o端子分配如下表 注:pLc的24VDc端接Demo模块的24V+;pLc的com端接Demo模块的com。 系统硬件连线与控制要求 采用1764-L32Lsp型号的microLogix1500可编程控制器,进行 I/o端子的连线。它由220VAc供电,输入回路中要串入24V直流电源。1764系列可编程控制器的产品目录号的各位含义如下示。1764:产品系列的代号L:基本单元 24:32个I/o点(12个输入点,12个输出点)b:24V 直流输入w:继电器输出 A:100/240V交流供电 下图为可编程控制器控制交通信号灯的I/o端子的连线图。本实验中模拟交通信号灯的指示灯由24V直流电源供电。o/2-o/4为南北交通信号灯,o/5-o/7为东西交通信号灯。 实现交通指挥信号灯的控制,交通指挥信号灯的布置,控制要求如下:(1)信号灯受一个启动开关控制,当启动开关接通时,信号灯系统开始正常工作,且先南北红灯亮,东西绿灯亮。当启动开关断开时,所有信号灯熄灭。 (2)南北红灯维持25秒。在南北红灯亮的同时东西绿

EDA交通灯实验报告

实验:交通灯设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 主干道 图1 路口交通管理示意图 设计要求: (1)采用VHDL语言编写程序,并在QuartusII工具平台中进行仿真,下载到EDA实验箱进行验证。 (2)编写设计报告,要求包括方案选择、程序清单、调试过程及测试结果。 二、设计原理 1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2、设计说明

(1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。 因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号: Clk: 由外接信号发生器提供256的时钟信号; 系统输出信号: full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。 模块说明: 系统输入:full: 接收由clk电路的提供的1HZ的时钟脉冲信号; 系统输出信号:tm:产生显示电路状态转换信号 tl:倒计数值秒数个位变化控制信号 th:倒计数值秒数十位变化控制信号 (3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换。 模块说明: 系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号; 系统输出信号:comb_out: 负责红绿灯的状态显示。 (4)第四模块:时间显示电路 本电路负责红绿灯的计数时间的显示。 模块说明: 系统输入信号:tl:倒计数值秒数个位变化控制信号; th:倒计数值秒数十位变化控制信号; 系统输出信号:led7s1: 负责红绿灯的显示秒数个位。 led7s2:负责红绿灯的显示秒数十位。 三、设计方案

单片机实验报告

本科生实验报告 实验课程单片机原理及应用 学院名称核技术与自动化工程学院 专业名称电气工程及其自动化 学生姓名 学生学号 指导教师任家富 实验地点6C902 实验成绩 二〇一五年三月二〇一五年六月 单片机最小系统设计及应用 摘要 目前,单片机以其高可靠性,在工业控制系统、数据采集系统、智能化仪器仪表等领域得到极其广泛的应用。因此对于在校的大学生熟练的掌握和使用单片机是具有深远的意义。通过本次课程设计掌握单片机硬件和软件方面的知识,更深入的了解单片机的实际应用,本次设计课程采用STC89C52单片机和ADC0804,LED显示,键盘,RS232等设计一个单片机开发板系统。进行了LED显示程序设计,键盘程序设计,RS232通信程序设计等。实现了单片机的各个程序的各个功能。对仿真软件keil的应用提升了一个新的高度。单片机体积小、成本低、使用方便,所以被广

泛地应用于仪器仪表、现场数据的采集和控制。通过本实验的学习,可以让学生掌握单片机原理、接口技术及自动控制技术,并能设计一些小型的、综合性的控制系统,以达到真正对单片机应用的理解。 关键词:单片机;智能;最小系统;ADC;RS232;显示;STC89C52 第1章概述 单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。相当于一个微型的计算机,和计算机相比,单片机只缺少了I/O设备。单片机采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的微型计算机系统。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。 它最早是被用在工业控制领域,由于单片机在工业控制领域的广泛应用,单片机由芯片内仅有CPU的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。 现代人类生活中所用的几乎每件电子和机械产品中都会集成有单片机。手机、电话、计算器、家用电器、电子玩具、掌上电脑以及鼠标等电脑配件中都配有1-2部单片机。汽车上一般配备40多部单片机,复杂的工业控制系统上甚至可能有数百台单片机在同时工作!单片机的数量不仅远超过PC机和其他计算的总和,甚至比人类的数量还要多。单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词——“智能型”,如智能型洗衣机等。 第2章实验内容 2.1单片机集成开发环境应用

交通信号灯设计实验报告

华侨大学电子工程系 基于FPGA的交通信号灯 课程设计报告 设计课题:交通信号灯设计 姓名:潘申欣、崔冰、陈孔滨 专业:10级集成电路设计与集成系统 学号:1015251023、1015251005、1015251003日期:2013年4月14日—2013年4月26日指导教师:傅文渊

目录 摘要 一、设计的任务与要求 (4) 1、任务与要求 (4) 2、系统原理 (5) 3、创新部分 (5) 二、系统顶层原理图 (6) 三、各功能模块叙述 (6) 1、码转换模块A (6) 2、数据产生模块 (8) 3、数据存储模块 (10) 4、4选1多路选择器 (12) 5、时钟产生模块 (13) 6、总控制模块 (15) 7、码转换模块B (20) 8、码转换模块C (22) 9、码转换模块D (24) 10、LCD1602驱动模块 (25) 四、硬件验证结果说明 (29) 1、引脚锁定 (29) 2、基本功能的验证 (30) 3、紧急情况处理部分 (33) 4、手动更改时间部分 (34) 五、Signal Tap仿真结果 (37) 六、心得体会 (38) 七、参考文献 (39) 八、附录(产品使用说明书) (39)

摘要 1、EDA技术的概念 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。 现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。 2、VHDL语言概念 VHDL语言:超高速集成电路硬件描述语言(VHSIC Hardware Deseription Languagt,简称VHDL),是IEEE的一项标准设计语言。它源于美国国防部提出的超高速集成电路(Very High Speed Integrated Circuit,简称VHSIC)计划,是ASIC设计和PLD设计的一种主要输入工具。

相关主题
文本预览
相关文档 最新文档