当前位置:文档之家› 超大规模集成电路期终复习题

超大规模集成电路期终复习题

超大规模集成电路期终复习题
超大规模集成电路期终复习题

VLSI总复习题

一、选择题:

1. 下列哪一步不属于光刻过程( C )

A 涂胶 B显影 C 离子注入 D刻蚀

2. 下列哪一个不属于MOS反相器的类型( C )

A.(E/D)

B.(E/R) C (D/E) D.CMOS

3. 上题2中,( D )属于无比电路。

4. 当Vgs<0, Vds>Vt时,NMOS晶体管处在(A )状态

A 截止 B饱和 C 线性 D.击穿

5. 在工艺尺寸相同,NMOS管与PMOS管的驱动能力之比约为( B

A.2/5 B.5/2 C.1/5 D.5/1

6. MOS管的阈值(开启)电压不受下列哪个参数影响(D )

A.衬底掺杂浓度

B.栅极绝缘材料

C.栅极导电材料

D.源漏掺杂浓

7. 衬偏效应对阈值电压的影响(A )

A. 增加

B.减小

C.不变

8. 对于短沟道而言,沟道长度调制效应对Ids的影响( A )

A. 增加

B.减小

C.不变

9. 以下不是防止寄生晶体管的措施有( C )

A.场区掺杂

B.增加衬底偏压

C.减小栅氧化层厚度

D.增厚场区

SIO2

10. 大电容负载通常采用逐级放大的方法驱动,如下图所示,应采用逐级放大,每级放大的等比例因子为( B )。

A.2

B.3

C.4

D.5

11. 下列哪一项不属于引起CMOS静态功耗的电流(C )

A.栅极漏电流

B.源漏极反偏漏电流

C.场开启漏电流

D.亚阈漏电流

12.如下图,设P管和N管的驱动能力均为β,若输入A,B为(0,0)时,此二输入或非门导电因子为(A ),若输入A,B为(1,1)时,导电因子为( B )

A.β/2

B.2β

C. β

D.2/3β

13. CMOS传输高电平,当 时NMOS为(C ),PMOS为(A )。

A.线性状态

B. 饱和状态

C.截止状态

D.击穿状态

14.可编逻辑阵列PLA( B )

A.与固定,或可编程

B.与,或均可编程

C.与可编程,或固定

15.下列哪一项不属于RS触发器的功能(C )

A.置0

B.置1

C.翻转

D.保持

16.若存储器存储容量为4K×4(位)等于(C )字节。

A.512

B.1024

C.2048

D.4096

17. 动态随机存储器是利用( C )存储数据。

A. 寄存器

B.触发器

C.MOS管栅电容

D.BJT

18. 在时钟沿到来前,输入信号所需要维持稳定的时间称为( D )

A.数据至输出时间

B.保持时间

C.时钟至输出时间

D.建立时间

19. 移位寄存器如下图所示,Q0Q1Q2Q3=1010,当两个上升沿送数(1,1)后其Q0Q1Q2Q3值为( B )

A.1100

B.1011

C.1110

D.0111

20. 下列哪一项不属于半定制实现方式( D )

A.宏单元

B.门海

C.标准单元

D.积木块

二、填空题

1. 光刻机有哪三种类型(接触式光刻机),(接进式光刻机),

(投影式光刻机 )。

2. 源漏截止电流由(PN结反向饱和电流I0),( 耗尽层产生电流Ig ),( 场开启漏电流 )组成。

2. CMOS功耗由(静态功耗 )和(动态功耗 )组成,其中(静

)是由反向漏电流引起的功耗。

3. 一位半加器A+B,和S的表达式为( A⊕B ),进位C的表达式

为( AB )。

4. 图为双二极管ESD保护电路,可使输出电压钳位范围为

(-0.7~VDD+0.7 )。

6. 测量方块电阻的主要方法为(四探针法)。

7. MOS器件内存在的两种电容分别为( 栅极电容)和(扩散电容)。

8. 数字电路的延迟由( 门延迟 )、(连线延迟)、(扇出延迟)、(大电容延迟)四部分组成。

三、简答题

1. 请画出NMOS晶体管剖面图,标出源,栅,漏及扩散区;并简

述其工作原理。

2. 列举提高CMOS速度的方法。晶体管沟道宽度增加或长度减少

3. 简述Latch-up效应及防止措施。

4. CMOS的I/O电路中输入缓冲器有哪些作用?

5. CMOS和TTL能直接互连吗?如何利用TTL去驱动CMOS电路?

四、综合题

1.设计一个静态CMOS逻辑电路,其功能为Y=AB+CD

2.利用PLA实现一个四位二进制转四位格雷码的转换器。

3.下图为并行进位计数器,若要实现往上计数,试判断Down/up信号值。

4.利用T’触发器(下降沿触发)实现三位二进制异步减法计数器。5.若NMOS管,Vgs>Vtn且Vgd>Vtn,则该晶体管工作在什么状态?设其工艺参数:栅氧化层厚度为1μm,栅面积为300 μm,试计算其栅电容 Cg=?(其中ε0=8.85×10-12(F/M)εsio2=3.9)

集成电路设计基础_期末考试题

集成电路设计基础 2010-11年第一学期试题 一、填空题(20分) 1、目前,国内已引进了12英寸0.09um 芯片生产线,由此工艺线生产出来的集成 电路特征尺寸是0.009um (大 小),指的是右图中的W (字 母)。 2、CMOS工艺可分为p阱、n阱、双阱 三种。 在CMOS工艺中,N阱里形成的晶体管是p (PMOS,NMOS)。 3、通常情况下,在IC中各晶体管之间是由场氧来隔离的;该区域的形成用到的制造工艺是氧化工艺。 4.集成电路制造过程中,把掩膜上的图形转换成晶圆上器件结构一道工序是指光 刻,包括晶圆涂光刻胶、曝光、显影、烘干四个步骤; 其中曝光方式包括①接触式、②非接触式两种。 5、阈值电压V T是指将栅极下面的si表面从P型Si变成N型Si所必要的电压,根据阈值电压的不同,常把MOS区间分成耗尽型、增强型两种。降低V T 的措施包括:降低杂质浓度、增大Cox 两种。 二、名词解释(每词4分,共20分) ①多项目晶圆(MPW) ②摩尔定律 ③掩膜 ④光刻

⑤外延 三、说明(每题5分共10分) ①说明版图与电路图的关系。 ②说明设计规则与工艺制造的关系。 四、简答与分析题(10分) 1、数字集成电路设计划分为三个综合阶段,高级综合,逻辑综合,物理综合;解释这 三个综合阶段的任务是什么? 2、分析MOSFET尺寸能够缩小的原因。 五、综合题(共4小题,40分) 1、在版图的几何设计规则中,主要包括各层的最小宽度、层与层之间的最小间距、各 层之间的最小交叠。把下图中描述的与多晶硅层描述的有关规则进行分类: (2)属于层与层之间的最小间距的是: (3)属于各层之间的最小交叠是: 2.请提取出下图所代表的电路原理图。画出用MOSFET构成的电路。

集成电路版图复习课答案总结

1、描述集成电路工艺技术水平的五个技术指标及其物理含义 ⑴集成度(Integration Level):以一个IC芯片所包含的元件(晶体管或门/数)来衡量,(包括有源和无源元件)。 ⑵特征尺寸 (Feature Size) /(Critical Dimension):特征尺寸定义为器件中最小线条宽度(对MOS器件而言,通常指器件栅电极所决定的沟道几何长度),也可定义为最小线条宽度与线条间距之和的一半。 ⑶晶片直径(Wafer Diameter):当前的主流晶圆的尺寸为12寸(300mm),正在向18寸(450mm)晶圆迈进。 ⑷芯片面积(Chip Area):随着集成度的提高,每芯片所包含的晶体管数不断增多,平均芯片面积也随之增大。 ⑸封装(Package):指把硅片上的电路管脚,用导线接引到外部接头处,以便于其它器件连接。封装形式是指安装半导体集成电路芯片用的外壳。 2、简述集成电路发展的摩尔定律。 集成电路芯片的集成度每三年提高4倍,而加工特征尺寸缩小倍,这就是摩尔定律。当价格不变时,集成电路上可容纳的晶体管数目,约每隔18个月便会增加一倍,性能也将提升一倍 3、集成电路常用的材料有哪些? 集成电路中常用的材料有三类:半导体材料,如Si、Ge、GaAs?以及InP?等;绝缘体材料,如SiO2、SiON?和Si3N4?等;金属材料,如铝、金、钨以及铜等。

4、集成电路按工艺器件类型和结构形式分为哪几类,各有什么特点。 双极集成电路:主要由双极晶体管构成(NPN型双极集成电路、PNP型双极集成电路)。优点是速度高、驱动能力强,缺点是功耗较大、集成度较低。 CMOS集成电路:主要由NMOS、PMOS构成CMOS电路,功耗低、集成度高,随着特征尺寸的缩小,速度也可以很高。 BiCMOS集成电路:同时包括双极和CMOS晶体管的集成电路为BiCMOS集成电路,综合了双极和CMOS器件两者的优点,但制作工艺复杂。 5、解释基本概念: 微电子、集成电路、集成度、场区、有源区、阱、外延 微电子:微电子技术是随着集成电路,尤其是超大型规模集成电路而发展起来的一门新的技术。微电子技术包括系统电路设计、器件物理、工艺技术、材料制备、自动测试以及封装、组装等一系列专门的技术,微电子技术是微电子学中的各项工艺技术的总和。微电子学是研究在固体(主要是半导体)材料上构成的微小型化电路、电路及微电子系统的电子学分支。 集成电路:通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体单晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能。 集成度:集成电路的集成度是指单块芯片上所容纳的元件数目。

(完整版)集成电路设计复习题及解答

集成电路设计复习题 绪论 1.画出集成电路设计与制造的主要流程框架。 2.集成电路分类情况如何? 集成电路设计 1.层次化、结构化设计概念,集成电路设计域和设计层次 2.什么是集成电路设计?集成电路设计流程。 (三个设计步骤:系统功能设计逻辑和电路设计版 图设计) 3.模拟电路和数字电路设计各自的特点和流程 4.版图验证和检查包括哪些内容?如何实现? 5.版图设计规则的概念,主要内容以及表示方法。为什么需要指定版图设计规则? 6.集成电路设计方法分类? (全定制、半定制、PLD) 7.标准单元/ 门阵列的概念,优点/缺点,设计流程 8.PLD设计方法的特点,FPGA/CPLD的概念 9.试述门阵列和标准单元设计方法的概念和它们之间的异同点。 10.标准单元库中的单元的主要描述形式有哪些?分别在IC设计的什么阶段应用? 11.集成电路的可测性设计是指什么? Soc设计复习题 1. 什么是SoC? 2. SoC设计的发展趋势及面临的挑战? 3. SoC设计的特点? 4. SoC设计与传统的ASIC设计最大的不同是什么? 5. 什么是软硬件协同设计? 6. 常用的可测性设计方法有哪些? 7. IP 的基本概念和IP分类 8. 什么是可综合RTL代码? 9. 么是同步电路,什么是异步电路,各有什么特点? 10. 逻辑综合的概念。 11. 什么是触发器的建立时间( Setup Time ),试画图进行说明。 12. 什么是触发器的保持时间( Hold Time ),试画图进行说明。 13. 什么是验证,什么是测试,两者有何区别? 14. 试画图简要说明扫描测试原理。

绪论 1、画出集成电路设计与制造的主要流程框架。 2、集成电路分类情况如何? 双极型 数字模拟混合电路按应用领域分类 集成电路设计 1.层次化、结构化设计概念,集成电路设计域和设计层次分层分级设计和模块化设计.将一个复杂的集成电路系统的设计问题分解为复杂性较低的设计级别,集成电路MSI 按规模分 LSI 类VLSI ULSI GSI 数字电 按功能分类模拟电 路组合逻辑电路 路时序逻辑电路 路线性电路 路非线性电路 单片集成 按结构分类 混合集 成 SSI PMOS 电M路OS 型NMOS CMOS B iMOS B iMOS 型 B iCMOS 电 厚路膜混合集 成 电路 薄路膜混合集 成 电路

模拟集成电路设计期末试卷

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

集成电路分析期末复习总结要点

集成电路分析 集成工业的前后道技术:半导体(wafer)制造企业里面,前道主要是把mos管,三极管作到硅片上,后道主要是做金属互联。 集成电路发展:按规模划分,集成电路的发展已经历了哪几代? 参考答案: 按规模,集成电路的发展已经经历了:SSI、MSI、LSI、VLSI、ULSI及GSI。它的发展遵循摩尔定律 解释欧姆型接触和肖特基型接触。 参考答案: 半导体表面制作了金属层后,根据金属的种类及半导体掺杂浓度的不同,可形成欧姆型接触或肖特基型接触。 如果掺杂浓度比较低,金属和半导体结合面形成肖特基型接触。 如果掺杂浓度足够高,金属和半导体结合面形成欧姆型接触。 、集成电路主要有哪些基本制造工艺。 参考答案: 集成电路基本制造工艺包括:外延生长,掩模制造,光刻,刻蚀,掺杂,绝缘层形成,金属层形成等。 光刻工艺: 光刻的作用是什么?列举两种常用曝光方式。 参考答案: 光刻是集成电路加工过程中的重要工序,作用是把掩模版上的图形转换成晶圆上的器件结构。 曝光方式:接触式和非接触式 25、简述光刻工艺步骤。 参考答案: 涂光刻胶,曝光,显影,腐蚀,去光刻胶。 26、光刻胶正胶和负胶的区别是什么? 参考答案: 正性光刻胶受光或紫外线照射后感光的部分发生光分解反应,可溶于显影液,未感光的部分显影后仍然留在晶圆的表面,它一般适合做长条形状;负性光刻胶的未感光部分溶于显影液

中,而感光部分显影后仍然留在基片表面,它一般适合做窗口结构,如接触孔、焊盘等。常规双极型工艺需要几次光刻?每次光刻分别有什么作用? 参考答案: 需要六次光刻。第一次光刻--N+隐埋层扩散孔光刻;第二次光刻--P+隔离扩散孔光刻 第三次光刻--P型基区扩散孔光刻;第四次光刻--N+发射区扩散孔光刻;第五次光刻--引线接触孔光刻;第六次光刻--金属化内连线光刻 掺杂工艺: 掺杂的目的是什么?举出两种掺杂方法并比较其优缺点。 参考答案: 掺杂的目的是形成特定导电能力的材料区域,包括N型或P型半导体区域和绝缘层,以构成各种器件结构。 掺杂的方法有:热扩散法掺杂和离子注入法掺杂。与热扩散法相比,离子注入法掺杂的优点是:可精确控制杂质分布,掺杂纯度高、均匀性好,容易实现化合物半导体的掺杂等;缺点是:杂质离子对半导体晶格有损伤,这些损伤在某些场合完全消除是无法实现的;很浅的和很深的注入分布都难以得到;对高剂量的注入,离子注入的产率要受到限制;一般离子注入的设备相当昂贵, 试述PN结的空间电荷区是如何形成的。 参考答案: 在PN结中,由于N区中有大量的自由电子,由P区扩散到N区的空穴将逐渐与N区的自由电子复合。同样,由N区扩散到P区的自由电子也将逐渐与P区内的空穴复合。于是在紧靠接触面两边形成了数值相等、符号相反的一层很薄的空间电荷区,称为耗尽层。简述CMOS工艺的基本工艺流程(以1×poly,2×metal N阱为例)。 参考答案: 形成N阱区,确定nMOS和pMOS有源区,场和栅氧化,形成多晶硅并刻蚀成图案,P+扩散,N+扩散,刻蚀接触孔,沉淀第一金属层并刻蚀成图案,沉淀第二金属层并刻蚀成图案,形成钝化玻璃并刻蚀焊盘。 表面贴装技术:电子电路表面组装技术(Surface Mount Technology,SMT), 称为表面贴装或表面安装技术。它是一种将无引脚或短引线表面组装元器件(简称SMC/SMD,中文称片状元器件)安装在印制电路板(Printed Circuit Board,PCB)的表面或其它基板的表面上,通过再流焊或浸焊等方法加以焊接组装的电路装连技术。[1]工艺流程简化为:印刷-------贴片-------焊接-------检修 有源区和场区:有源区:硅片上做有源器件的区域。(就是有些阱区。或者说是采用STI等隔离技术,隔离开的区域)。有源区主要针对MOS而言,不同掺杂可形成n或p型有源区。有源区分为源区和漏区(掺杂类型相同)在进行互联

(完整版)集成电路工艺原理期末试题

电子科技大学成都学院二零一零至二零一一学年第二学期 集成电路工艺原理课程考试题A卷(120分钟)一张A4纸开卷教师:邓小川 一二三四五六七八九十总分评卷教师 1、名词解释:(7分) 答:Moore law:芯片上所集成的晶体管的数目,每隔18个月翻一番。 特征尺寸:集成电路中半导体器件能够加工的最小尺寸。 Fabless:IC 设计公司,只设计不生产。 SOI:绝缘体上硅。 RTA:快速热退火。 微电子:微型电子电路。 IDM:集成器件制造商。 Chipless:既不生产也不设计芯片,设计IP内核,授权给半导体公司使用。 LOCOS:局部氧化工艺。 STI:浅槽隔离工艺。 2、现在国际上批量生产IC所用的最小线宽大致是多少,是何家企业生产?请 举出三个以上在这种工艺中所采用的新技术(与亚微米工艺相比)?(7分) 答:国际上批量生产IC所用的最小线宽是Intel公司的32nm。 在这种工艺中所采用的新技术有:铜互联;Low-K材料;金属栅;High-K材料;应变硅技术。 3、集成电路制造工艺中,主要有哪两种隔离工艺?目前的主流深亚微米隔离工 艺是哪种器件隔离工艺,为什么?(7分) 答:集成电路制造工艺中,主要有局部氧化工艺-LOCOS;浅槽隔离技术-STI两种隔离工艺。 主流深亚微米隔离工艺是:STI。STI与LOCOS工艺相比,具有以下优点:更有效的器件隔离;显著减小器件表面积;超强的闩锁保护能力;对沟道无 侵蚀;与CMP兼容。 4、在集成电路制造工艺中,轻掺杂漏(LDD)注入工艺是如何减少结和沟道区间的电场,从而防止热载流子的产生?(7分) 答:如果没有LDD形成,在晶体管正常工作时会在结和沟道区之间形成高

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

《超大规模集成电路设计》考试习题(含答案)完整版分析

1.集成电路的发展过程经历了哪些发展阶段?划分集成电路的标准是什么? 集成电路的发展过程: ?小规模集成电路(Small Scale IC,SSI) ?中规模集成电路(Medium Scale IC,MSI) ?大规模集成电路(Large Scale IC,LSI) ?超大规模集成电路(Very Large Scale IC,VLSI) ?特大规模集成电路(Ultra Large Scale IC,ULSI) ?巨大规模集成电路(Gigantic Scale IC,GSI) 划分集成电路规模的标准 2.超大规模集成电路有哪些优点? 1. 降低生产成本 VLSI减少了体积和重量等,可靠性成万倍提高,功耗成万倍减少. 2.提高工作速度 VLSI内部连线很短,缩短了延迟时间.加工的技术越来越精细.电路工作速度的提高,主要是依靠减少尺寸获得. 3. 降低功耗 芯片内部电路尺寸小,连线短,分布电容小,驱动电路所需的功率下降. 4. 简化逻辑电路 芯片内部电路受干扰小,电路可简化. 5.优越的可靠性 采用VLSI后,元件数目和外部的接触点都大为减少,可靠性得到很大提高。 6.体积小重量轻 7.缩短电子产品的设计和组装周期 一片VLSI组件可以代替大量的元器件,组装工作极大的节省,生产线被压缩,加快了生产速度. 3.简述双阱CMOS工艺制作CMOS反相器的工艺流程过程。 1、形成N阱 2、形成P阱 3、推阱 4、形成场隔离区 5、形成多晶硅栅 6、形成硅化物 7、形成N管源漏区 8、形成P管源漏区 9、形成接触孔10、形成第一层金属11、形成第一层金属12、形成穿通接触孔13、形成第二层金属14、合金15、形成钝化层16、测试、封装,完成集成电路的制造工艺 4.在VLSI设计中,对互连线的要求和可能的互连线材料是什么? 互连线的要求 低电阻值:产生的电压降最小;信号传输延时最小(RC时间常数最小化) 与器件之间的接触电阻低 长期可靠工作 可能的互连线材料 金属(低电阻率),多晶硅(中等电阻率),高掺杂区的硅(注入或扩散)(中等电阻率)

集成电路设计练习题

集成电路设计练习题2009 1、说明一个半导体集成电路成本的组成。 2、简述CMOS工艺流程。简述CMOS集成电路制造的过程中需要重复进行的工艺步骤。 3、描述你对集成电路工艺的认识。列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?简述CMOS工艺技术的发展趋势。 4、你知道的集成电路设计的表达方式有哪几种? 5、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx 其中,x为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为3~5v 假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。 6、请谈谈对一个系统设计的总体思路。针对这个思路,你觉得应该具备哪些方面的知识? 7、描述你对集成电路设计流程的认识。 8、集成电路前端设计流程,后端设计流程,相关的工具。 9、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool. 10、简述FPGA等可编程逻辑器件设计流程。 11、简述半定制数字电路的设计流程。 12、简要说明并比较数字集成电路几种不同的实现方法。 13、什么是集成电路的设计规则。 14、同步电路和异步电路的区别是什么? 15、画出CMOS电路的晶体管级电路图,实现Y=AB+C(D+E) 16、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管还是N 管,为什么? 17、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求? 18、名词解释:VLSI, CMOS, EDA, VHDL, DRC, LVS, DFT, STA 19、画出CMOS与非门的电路,并画出波形图简述其功能。

集成电路设计方法--复习提纲

1.什么叫IC 的集成度?目前先进的IC规模有多大? 集成度就是一块集成电路芯片中包含晶体管的数目,或者等效逻辑门数 2012年5月 71亿晶体管的NVIDIA的GPU 28nm 2.什么叫特征尺寸? 特征尺寸通常是指是一条工艺线中能加工的最小尺寸,反映了集成电路版图图形的精细程度,如MOS晶体管的沟道长度,DRAM结构里第一层金属的金属间距(pitch)的一半。 3.目前主流的硅圆片直径是多少? 12英寸 4.什么叫NRE(non-recurring engineering)成本? 支付给研究、开发、设计和测试某项新产品的单次成本。在集成电路领域主要是指研发人力成本、硬件设施成本、CAD工具成本以及掩膜、封装工具、测试装置的成本,产量小,费用就高。 5.什么叫recurring costs? 重复性成本,每一块芯片都要付出的成本,包括流片费、封装费、测试费。也称可变成本,指直接用于制造产品的费用,因此与产品的产量成正比。包括:产品所用部件的成本、组装费用以及测试费用。 6.什么叫有比电路? 靠两个导通管的宽长比不同,从而呈现的电阻不同来决定输出电压,它是两个管子分压的结果,电压摆幅由管子的尺寸决定。 7.IC制造工艺有哪几种? 双极型模拟集成电路工艺、CMOS工艺、BiCMOS工艺 8.什么叫摩尔定律?摩尔定律面临什么样的挑战? 当价格不变时,积体电路上可容纳的电晶体数目,约每隔24个月(现在普遍流行的说法是“每18个月增加一倍”)便会增加一倍,性能也将提升一倍;或者说,每一美元所能买到的电脑性能,将每隔18个月翻两倍以上。 面临面积、速度和功耗的挑战。 9.什么叫后摩尔定律?后摩尔定律下IC设计面临哪些挑战?解决方案? 多重技术创新应用向前发展,即在产品多功能化(功耗、带宽等)需求下,将硅基CMOS和非硅基等技术相结合,以提供完整的解决方案来应对和满足层出不穷的新市场发展。 挑战:a单芯片的处理速度越来越快,主频越来越高,热量越来越多b.互联线延迟增大解决方案:1.多核、低功耗设计2.3D互联、无线互联、光互连 延续摩尔定律“尺寸更小、速度更快、成本更低”,还会利用更多的技术创新:节能、环保、舒适以及安全性 架构:多核散热:研发新型散热器更薄的材料:用碳纳米管组装而成的晶体管速度更快的晶体管:超薄石墨烯做的晶体管纳米交叉线电路元件:忆阻器 光学互联器件分子电路、分子计算、光子计算、量子计算、生物计算 10. IC按设计制造方法不同可以分为哪几类? 全定制IC:硅片各掩膜层都要按特定电路的要求进行专门设计 半定制IC:全部逻辑单元是预先设计好的,可以从单元苦衷调用所需单元来掩模图形,可使用相应的EDA软件,自动布局布线

集成电路设计基础

集成电路设计基础复习提纲 一EDA常用命令 ls 显示当前目录下的文件和路径。Pwd显示当前文件的绝对路径.。Cd进入指定目录。More显示文件内容。Cp拷贝。Mkdir创建目录。tar 打包。zip压缩。unzip解压。ftp传送文件。 二基本概念 1版图设计 CIW命令解释窗口, Library 库,Reference Library相关库, Library Path库路径,Cell单元,View视图,Techfiler.tf工艺文件, cds.lib库管理文件, techfile.cds ASCII 文件,LSW图层选择窗口,display.drf图层显示文件。LayerPurpose Pair层次用途配对,Cellview Attributes and Properties单元视图属性,Instance单元,Snap Mode 光标按钮画线条或图形的模型。Stream。数据流(一个标准数据格式用在cad系统间传递物理设计数据) parameterized cells,参数化单元。Flatten,打平 设计方法 1 CIC设计流程 ①设计规划。②建库。③原理图输入。④电路仿真。⑤单元模块版图。⑥TOP 版图。⑦验证。⑧输出GDSII。⑨制掩膜。⑩流片封装测试。 2CIC建库的步骤,工艺文件和显示文件的使用。 建库进入设计项目所在的文件夹,打开名利窗口输入icfb,在ciw菜单栏中选择file-creat-creat new library,选择要连接的Techfiler.tf或者选择相应库作为链接库,后根据指示完成余下的操作 工艺文件p1-40说明图层连接,等效连接,不可被重叠,自动布线,设计规则等情况 ciw-technology-file-dump ,design,layout definations,ascll 命名.Tf,ok;/techpurposes /techlayers;/techdisplays;/techlayerpurposepriorities(图层目的优先);:q!(保存退出):wq!(写后保存退出);/ptap File-load 显示文件的使用:在显示资源编辑窗口里编辑并保存(display。drf)长期有效 添加新包,先编辑显示文件再在显示资源编辑窗口里编辑其填充等;file—save;tools-display resources-mergefile;分配图层目的配对。 3单元版图绘图方法及编辑基本方法, 新建,根据设计要求选择图层用不同的绘图命令绘制和按参数编辑、连接,测试4绘图及编辑常用命令的使用: Create— Rectangle 。create-rectangle left点拉升点 Instance、create-instance(名字不可改)填写库cell view 坐标等 Path、create-path 1点2点+回车/双击 Pcell、edit-hierarchy(分层)-make cell 填写,画长方形区域,ok Polygon、create- Polygon(F3),选择图层,点,点等,回车 Conics create-arc,点,点,点回车

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

集成电路封装测试题 期末2017

1、引线键合技术的分类及结构特点? 答: 1、热压焊:热压焊是利用加热和加压力,使焊区金属发生塑性形变,同时破坏压 焊界面上的氧化层,使压焊的金属丝与焊区金属接触面的原子间达到原子的引 力范围,从而使原子间产生吸引力,达到“键合”的目的。 2、超声焊:超声焊又称超声键合,它是利用超声波(60-120kHz)发生器产生的能量, 通过磁致伸缩换能器,在超高频磁场感应下,迅速伸缩而产生弹性振动经变幅 杆传给劈刀,使劈刀相应振动;同时,在劈刀上施加一定的压力。于是,劈刀 就在这两种力的共同作用下,带动Al丝在被焊区的金属化层(如Al膜)表面迅 速摩擦,使Al丝和Al膜表面产生塑性形变。这种形变也破坏了Al层界面的氧 化层,使两个纯净的金属面紧密接触,达到原子间的“键合”,从而形成牢固 的焊接。 3、金丝球焊:球焊在引线键合中是最具有代表性的焊接技术。这是由于它操作方 便、灵活,而且焊点牢固,压点面积大,又无方向性。现代的金丝球焊机往往 还带有超声功能,从而又具有超声焊的优点,有的也叫做热(压)(超)声焊。可实 现微机控制下的高速自动化焊接。因此,这种球焊广泛地运用于各类IC和中、 小功率晶体管的焊接。 2、载带自动焊的分类及结构特点? 答:TAB按其结构和形状可分为 Cu箔单层带:Cu的厚度为35-70um, Cu-PI双层带 Cu-粘接剂-PI三层带 Cu-PI-Cu双金属 3、载带自动焊的关键技术有哪些? 答:TAB的关键技术主要包括三个部分: 一是芯片凸点的制作技术; 二是TAB载带的制作技术; 三是载带引线与芯片凸点的内引线焊接和载带外引线的焊接术。制作芯片凸点除作为TAB内引线焊接外,还可以单独进行倒装焊(FCB) 4.倒装焊芯片凸点的分类、结构特点及制作方法? 答:蒸镀焊料凸点:蒸镀焊料凸点有两种方法,一种是C4 技术,整体形成焊料凸点; 电镀焊料凸点:电镀焊料是一个成熟的工艺。先整体形成UBM 层并用作电镀的导电层,然后再用光刻胶保护不需要电镀的地方。电镀形成了厚的凸点。 印刷焊料凸点:焊膏印刷凸点是一种广泛应用的凸点形成方法。印刷凸点是采用模板直接将焊膏印在要形成凸点的焊盘上,然后经过回流而形成凸点钉头焊料凸点:这是一种使用标准的球形导线键合技术在芯片上形成的凸点方法。可用Au 丝线或者Pb 基的丝线。 化学凸点:化学镀凸点是一种利用强还原剂在化学镀液中将需要镀的金属离子还原成该金属原子沉积在镀层表面形成凸点的方法。

IC设计基础笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目)

集成电路设计基础 期末考试题

集成电路设计基础 2010-11年第第一学期试题 1、填空题(20分) 1、目前,国内已引进了12英寸0.09um芯片生产线,由此工艺线生产出来的集成电路特征尺寸是0.009um (大小),指的是右图中的 W (字母)。 2、CMOS工艺可分为 p阱、 n阱、双阱三种。 在CMOS工艺中,N阱里形成的晶体管是 p (PMOS, NMOS)。 3、通常情况下,在IC 中各晶体管之间是由场氧来隔离的; 该区域的形成用到的制造工艺是氧化工艺。 4.集成电路制造过程中,把掩膜上的图形转换成晶圆上器件结构一道 工序是指光刻,包括晶圆涂光刻胶、曝光、显影、烘干四 个步骤; 其中曝光方式包括①接触式、② 非接触式两种。 5、阈值电压V T是指将栅极下面的si表面从P型Si变成N型Si所必要的 电压,根据阈值电压的不同,常把MOS期间分成耗尽型、增强型 两种。降低V T的措施包括:降低杂质浓度、增大Cox 两种。 二、名词解释(每词4分,共20分) ①多项目晶圆(MPW) ②摩尔定律 ③掩膜 ④光刻

⑤外延 三、说明(每题5分共10分) ① 说明版图与电路图的关系。 ② 说明设计规则与工艺制造的关系。 四、简答与分析题(10分) 1、数字集成电路设计划分为三个综合阶段,高级综合,逻辑综合,物理综合;解释这三个综合阶段的任务是什么? 2、分析MOSFET尺寸能够缩小的原因。 五、综合题(共4小题,40分) 1、 在版图的几何设计规则中,主要包括各层的最小宽度、层与层 之间的最小间距、各层之间的最小交叠。把下图中描述的与多 晶硅层描述的有关规则进行分类:

(1)属于最小宽度是: (2)属于层与层之间的最小间距的是: (3)属于各层之间的最小交叠是: 图1

集成电路设计基础复习要点

集成电路设计基础复习要点 第一章集成电路设计概述 1、哪一年在哪儿发明了晶体管?发明人哪一年获得了诺贝尔奖? 2、世界上第一片集成电路是哪一年在哪儿制造出来的?发明人哪一 年为此获得诺贝尔奖? 3、什么是晶圆?晶圆的材料是什么? 4、晶圆的度量单位是什么?当前主流晶圆尺寸是多少?目前最大晶 圆尺寸是多少? 5、摩尔是哪个公司的创始人?什么是摩尔定律? 6、什么是SoC?英文全拼是什么? 7、说出Foundry、Fabless和Chipless的中文含义。 8、什么是集成电路的一体化(IDM)实现模式? 9、什么是集成电路的无生产线(Fabless)设计模式? 10、目前集成电路技术发展的一个重要特征是什么? 11、一个工艺设计文件(PDK)包含哪些内容? 12、什么叫“流片”? 13、什么叫多项目晶圆(MPW) ?MPW英文全拼是什么? 14、集成电路设计需要哪些知识范围? 15、著名的集成电路分析程序是什么?有哪些著名公司开发了集成电 路设计工具?

16、SSI、MSI、LSI、VLSI、ULDI的中文含义是什么?英文全拼是 什么?每个对应产品芯片上大约有多少晶体管数目? 17、国内近几年成立的集成电路代工厂家或转向为代工的厂家主要有 哪些? 18、境外主要代工厂家和主导工艺有哪些? 第二章集成电路材料、结构与理论 1、电子系统特别是微电子系统应用的材料有哪些? 2、常用的半导体材料有哪些? 3、半导体材料得到广泛应用的原因是什么? 4、为什么市场上90%的IC产品都是基于Si工艺的? 5、砷化镓(GaAs) 和其它III/V族化合物器件的主要特点是什么? 6、GaAs晶体管最高工作频率f T可达多少?最快的Si晶体管能达到多 少? 7、GaAs集成电路主要有几种有源器件? 8、为什么说InP适合做发光器件和OEIC? 9、IC系统中常用的几种绝缘材料是什么? 10、什么是欧姆接触和肖特基接触? 11、多晶硅有什么特点? 12、什么是材料系统?

《集成电路设计原理》试卷及答案

电科《集成电路原理》期末考试试卷 一、填空题 1.(1分) 年,第一次观测到了具有放大作用的晶体管。 2 . ( 2 分 ) 摩 尔 定 律 是 指 。 3. 集 成 电 路 按 工 作 原 理 来 分 可 分 为 、 、 。 4.(4分)光刻的工艺过程有底膜处理、涂胶、前烘、 、 、 、 和去胶。 5. ( 4 分 ) MOSFET 可 以 分 为 、 、 、 四种基本类型。 6.(3分)影响MOSFET 阈值电压的因素有: 、 以及 。 7.(2分)在CMOS 反相器中,V in ,V out 分别作为PMOS 和NMOS 的 和 ; 作为PMOS 的源极和体端, 作为NMOS 的源极和体端。 8.(2分)CMOS 逻辑电路的功耗可以分为 和 。 9.(3分)下图的传输门阵列中5DD V V =,各管的阈值电压1T V V =,电路中各节点的初始电压为0,如果不考虑衬偏效应,则各输出节点的输出电压Y 1= V ,Y 2= V ,Y 3= V 。 DD 1 3 2 10.(6分)写出下列电路输出信号的逻辑表达式:Y 1= ;Y 2= ;Y 3= 。 A B Y 1 A B 2 3

二、画图题:(共12分) =+的电路图,要求使用的1.(6分)画出由静态CMOS电路实现逻辑关系Y ABD CD MOS管最少。 2.(6分)用动态电路级联实现逻辑功能Y ABC =,画出其相应的电路图。 三、简答题:(每小题5分,共20分) 1.简单说明n阱CMOS的制作工艺流程,n阱的作用是什么? 2.场区氧化的作用是什么,采用LOCOS工艺有什么缺点,更好的隔离方法是什么?

集成电路设计基础复习

1. 在P 衬底硅片上设计的PMOS 管可以分为n+层、SiO 2层、多晶硅层、金属层和N 井层。 2. 在集成电路设计中,制造厂商所给的工艺中有R □为它成为(方块电阻)。 3. MOS 管元件参数中的C ox 是栅极单位面积所具有的(电容值)。 4. 对于NMOS 而言,工作在饱和区中,其漏电流I D 等于(21()2D P ox GS TH W I C V V L μ= -),不能使用β或K 来表示。 5. 对于PMOS 而言,工作在饱和区中,其漏电流I D 等于 (21(||)2D P ox SG TH W I C V V L μ=--),不能使用β或K 来表示。 6. 对于工作在饱和区的NMOS 而言,其g m 等于(2D m GS TH I g V V =-),只能有I D 和过 驱动电压表示。 7. 对于工作在饱和区的NMOS 而言,其g m 等于(m g =),只能有I D 、W 、L 以及工艺参数表示。 8. 根据MOS 管特征曲线划分的四个工作区域,可以作为MOS 电阻的区域为(深度三极管区)。 9. 根据MOS 管特征曲线划分的四个工作区域中,可以作为电流源的区域为(饱和区)。 10. 对于NMOS 而言,导电沟道形成,但没有产生夹断的外部条件为(V DS 小于V GS -V TH )。 11. 差动信号的优点,能(有效抑制共模噪声),增大输出电压摆幅,偏置电路更简单和输出线性度更高。 12. 分析MOS 共栅放大电路,其电流增益约等于(1)。 13. 差动信号的优点,能有效抑制共模噪声,增大输出电压摆幅,偏置电路更简单和(输出线性度更高)。 14. 共源共栅电流镜如下图所示,当V X 电压源由大变小的过程中,M2和M3管,(M3)先退出饱和区。

集成电路设计基础——发展史

集成电路设计系列第2章集成电路发展史

本章概要 2.1 集成电路的发明 2.2 微处理器的发展 2.3 摩尔定律 2 2.4 今天的IC

年德国科学家Ferdinand 1874年,德国科学家Ferdinand Braun 发现在一定的条件下,晶体能够单向传导电流并将这种现象能够单向传导电流,并将这种现象称为“整流(rectification )。 年意大利人G i l M i 3 1895年,意大利人Gugielmo Marconi 发明了利用电波传输信号的新技术,成为无线通信的开端晶体探测器首成为无线通信的开端。晶体探测器首次被用于无线电接收机中,用于从载波中提取有用信号称之为“检波”波中提取有用信号,称之为检波。

1904年,英国科学家John Ambrose Fleming,发明了第一只电子管,被称为 Fleming Valve。 “Fleming Valve” 4 这只电子管只有阴极和阳极两个电极。他通过研究 ,将个有用信号调制到从阴极到阳极的 Edison Effect,将一个有用信号调制到从阴极到阳极的 直流电流之上。

5 1906年,美国科学家Lee de Forest 给电子管加一个电极(称为栅极), 从而使电子管具有了放大的能力, 可以视作为晶体管的前身。

机械计算装置 英国剑桥大学教授 Charles Babbage于1932 Ch l B bb 年设想,1934年开发 被称为差动引擎 (Difference Engines) 采用十进制 6 可完成加、减、乘、除 有25000个机械部件,总 成本17470英镑

相关主题
文本预览
相关文档 最新文档